EN
EN
Dergiler
Duyurular
İstatistikler
Standartlar
Hakkımızda
İletişim
Turkish Journal of Electrical Engineering and Computer Science
Arşiv
Turkish Journal of Electrical Engineering and Computer Science
2020
Cilt: 28 - Sayı : 3
Comparisons of extreme learning machine and backpropagation-based i-vector approach for speaker identification
A population based simulated annealing algorithm for capacitated vehicle routing problem
Emulation of burst-based adaptive link rates in NetFPGA towards green networking
Adjustable testing setup for a single-loop optoelectronic oscillator with an electrical bandpass filter
Optimization of real-world outdoor campaign allocations
Combined analytic hierarchy process and binary particle swarm optimization for multiobjective plug-in electric vehicles charging coordination with time-of-use tariff
Analysis of acoustic sensor placement for PD location in power transformer
Lattice-reduction aided multiple-symbol differential detection in two-way relay transmission
A fully batteryless multiinput single inductor single output energy harvesting Architecture
Design of a spurious-free RF frequency synthesizer for fast-settling receivers
Fault identification of catenary dropper based on improved CapsNet
Combining metadata and co-citations for recommending related papers
Deep neural network based m-learning model for predicting mobile learners' performance
User profiling for TV program recommendation based on hybrid television standards using controlled clustering with genetic algorithms and artificial neural networks
The impact of text preprocessing on the prediction of review ratings
A spreadsheet-based decision support system for examination timetabling
Feature points-based image registration between satellite imagery and aerial images of agricultural land
A new semiempirical model determining the dielectric characteristics of citrus leaves for the remote sensing at C band
Implicit relation-based question answering to answer simple questions over DBpedia
Two novel radar detectors for spiky sea clutter with the presence of thermal noise and interfering targets
Wideband patch array antenna using superstrate configuration for future 5G Applications
An arbitrary waveform magnetic nanoparticle relaxometer with an asymmetrical three-section gradiometric receive coil
Investigating the efficiency of multithreading application programming interfaces for parallel packet classification in wireless sensor networks
Experimental and predicted XLPE cable insulation properties under UV Radiation
Low harmonic 12-pulse rectifier with a circulating current shaping circuit
Deep temporal motion descriptor DTMD for human action recognition
Nonlinear adaptive semiactive control of a half-vehicle model via hardware in the loop simulation
On efficient computation of equilibrium under social coalition structures
Plane wave diffraction by strip with an integral boundary condition
A template-based code generator for web applications
A fabrication-oriented remeshing method for auxetic pattern extraction
A GA-based adaptive mechanism for sensorless vector control of induction motor drives for urban electric vehicles
An alternative method of biomedical signal transmission through the GSM voice channel
Peak shaving and technical loss minimization in distribution grids: a time-of-use-based pricing approach for distribution service tariffs
An optimized FPGA design of inverse quantization and transform for HEVC decoding blocks and validation in an SW/HW environment
A high-level and adaptive metaheuristic selection algorithm for solving high dimensional bound-constrained continuous optimization problems
Human activity recognition by using MHIs of frame sequences
Analysis of condition number and position estimation error for multiangulation position estimation system
Selective personalization and group profiles for improved web search Personalization
Comparative analysis of classification techniques for network fault management
Cilt: 28 - Sayı : 2
A novel chaos-based modulation scheme: adaptive threshold level chaotic on-off keying for increased BER performance
A modified relay-race algorithm for floorplanning in PCB and IC design
Towards human activity recognition for ubiquitous health care using data from a waist-mounted smartphone
A priority-based queuing model approach using destination parameters for real-time applications on IPv6 networks
A multibeam subarrayed time-modulated linear array
Cooperative communications with optimal harvesting duration for Nakagami fading channels
Coordinated charging of electric vehicles including customer options for slow or fast charging
HUBBLE: an optical link management system for dense wavelength division multiplexing networks
An Inter-Domain Attack Mitigating Solution
Hyperheuristics for explicit resource partitioning in simultaneous multithreaded processors
Geographic variation and ethnicity in diabetic retinopathy detection via deep learning
Event-based summarization of news articles
Ternary logical naming convention and application in ternary optical computers
Dynamic software rejuvenation in web services: a whale optimization algorithm-based approach
Short unsegmented PCG classification based on ensemble classifier
An automated eye disease recognition system from visual content of facial images using machine learning techniques
Multitask-based association rule mining
Measurement of sound velocity in oil wells based on fast adaptive median filtering
Accurate indoor positioning with ultra-wide band sensors
Design of a low pass filter using rhombus-shaped resonators with an analytical LC equivalent circuit
A fast text similarity measure for large document collections using multireference cosine and genetic algorithm
Development of a supervised classification method to construct 2D mineral maps on backscattered electron images
Convolutional auto encoders for sentence representation generation
Design and characterization of a compact single-layer multibeam array antenna using an 8×8 Butler matrix for 5G base station applications
Adaptive prescribed performance servo control of an automotive electronic throttle system with actuator constraint
A viable snore detection system: hardware and software implementations
Measurement based threat aware drone base station deployment
Fast adaptive reclosing in double-circuit transmission lines for improving power system stability based on harmonic analysis scheme
Correlation coefficients of Pythagorean hesitant fuzzy sets and their application to radar LPI performance evaluation
Robust optimal operation of smart distribution grids with renewable based generators
Optimal design of a flux reversal permanent magnet machine as a wind turbine generator
Estimating spatiotemporal focus of documents using entropy with PMI
Crash course learning: an automated approach to simulation-driven LiDAR-based training of neural networks for obstacle avoidance in mobile robotics
Piezoresistive disposable weight sensor with increased sensitivity
Rule extraction and performance estimation by using variable neighborhood search for solar power plant in Konya
Satire identification in Turkish news articles based on ensemble of classifiers
Prediction of railway switch point failures by artificial intelligence methods
Investigation on leakage current, erosion, and hydrophobic performance of high-voltage insulator coatings of different thicknesses
Chemical disease relation extraction task using genetic algorithm with two novel voting methods for classifier subset selection
Novel random models of entity mobility models and performance analysis of random entity mobility models
Adaptive blind equalization for a MIMO chaotic communication system
Cilt: 28 - Sayı : 1
A wide angle multiple beam lens for convex conformal arrays
A novel S-box-based postprocessing method for true random number generation
Lung cancer subtype differentiation from positron emission tomography images
Sensor anomaly detection in the industrial internet of things based on edge computing
A symmetric-based framework for securing cloud data at rest
Automatic characterization of copy number polymorphism using high throughput sequencing
A compact wideband series linear dielectric resonator array antenna
On the asymptotic analysis of the high-order statistics of the channel capacity over generalized fading channels
A power and area efficient approximate carry skip adder for error resilient applications
Design and implementation of a bandpass Wilkinson power divider with wide bandwidth and harmonic suppression
Pulse width modulation control of fifteen-switch inverter for four AC loads
RMS frequency error performance and spurious signals in two-point modulators due to path imbalances
Optimal fractional-order PID controller of inverter-based power plants for power systems LFO damping
Design and fabrication of an eight-port binary Wilkinson power splitter
Reliability comparisons of mobile network operators: an experimental case study from a crowdsourced dataset
Nonlocal means estimation of intrinsic mode functions for speech enhancement
Operation scheme for MMC-based STATCOM using modified instantaneous symmetrical components
A preliminary survey on software testing practices in Khyber PakhtunKhwa region of Pakistan
Estimation of distribution-based multiobjective design space exploration for energy and throughput-optimized MPSoCs
Dynamically updated diversified ensemble-based approach for handling concept drift
On the automorphisms and isomorphisms of MDS matrices and their efficient implementations
A low dropout voltage regulator with a transient voltage spikes reducer and improved figure of merit
Performance improvement of induction motor drives with model-based predictive torque control
Controlling waveguide modes using PT transformation media
Filter design for small target detection on infrared imagery using normalized-cross-correlation layer
2019
Cilt: 27 - Sayı : 6
Improving word embeddings projection for Turkish hypernym extraction
A depth-based nearest neighbor algorithm for high-dimensional data classification
On the performance of quick artificial bee colony algorithm for dynamic deployment of wireless sensor networks
A modified gravitational search algorithm and its application in lifetime maximization of wireless sensor networks
Decision-making for small industrial Internet of Things using decision fusion
Energy saving scheduling in a fog-based IoT application by Bayesian task classification approach
An automated snick detection and classification scheme as a cricket decision review system
A new model to determine the hierarchical structure of the wireless sensor networks
A novel randomized recurrent artificial neural network approach: recurrent random vector functional link network
Evaluating the attributes of remote sensing image pixels for fast k-means clustering
A crowdsensing-based framework for urban air quality decision support
Sparse Bayesian approach to fast learning network for multiclassification
Rough fuzzy cuckoo search for triclustering microarray gene expression data
Parallel algorithms for computing sparse matrix permanents
GACNN SleepTuneNet: a genetic algorithm designing the convolutional neural network architecture for optimal classification of sleep stages from a single EEG channel
A new technique for the measurement and assessment of carotid artery wall vibrations using ultrasound RF echoes
Enabling space time division multiple access in IETF 6TiSCH protocol
Automatic prostate segmentation using multiobjective active appearance model in MR images
ABC-based stacking method for multilabel classification
Performance evaluation of WebRTC-based online consultation platform
A Fine-grain and scalable set-based cache partitioning through thread classification
Defect detection of seals in multilayer aseptic packages using deep learning
Possible effects of dielectrophoretic fields in the brains of MRI operators and MS patients: a radiologically isolated syndrome evaluation
Heart attack mortality prediction: an application of machine learning methods
Compact metal-plate slotted WLAN-WIMAX antenna design with USB Wi-Fi adapter application
Decoupling network for Tx/Rx body coil for 7T MRI
Empirical model development for the estimation of clearness index using meteorological parameters
Modified recycling folded cascode OTA with enhancement in transconductance and output impedance
NVRH-LUT: A nonvolatile radiation-hardened hybrid MTJ/CMOS-based look-up table for ultralow power and highly reliable FPGA designs
On the output regulation for linear fractional systems
An improved space charge distribution analytical model to assess field-effect transistor's intrinsic capacitors
A hybrid feature-selection approach for finding the digital evidence of web application attacks
Computation of stability regions for load frequency control systems including incommensurate time delays
Hypothesis-based vertex shift method for embedding secret logos in the geometric features of 3D objects
Line independency-based network modelling for backward/forward load flow analysis of electrical power distribution systems
Multiple distributed generations placement and sizing based on voltage stability index and power loss minimization
Optimal siting, sizing, and parameter tuning of STATCOM and SSSC using MPSO and remote coordination of the FACTS for oscillation damping of power systems
A new approach for wind turbine placement problem using modified differential evolution algorithm
The impact of demand response programs on UPFC placement
A transmission optimization algorithm for smart load controllers
A hybrid multiband printed loop antenna for WLAN/WiMAX bands for applications in MIMO systems
A two-stage power converter architecture with maximum power extraction for low-power energy sources
The effect of snowfall and icing on the sustainability of the power output of a grid-connected photovoltaic system in Konya, Turkey
Dynamic radar cross-section characteristic analysis of wind turbine based on scaled model experimental
Quantification of resistive wall instability for particle accelerator machines
Survey of network embedding techniques for social networks
An optimized harmonic elimination method based on synchronized microcontroller architecture
A novel initial rotor position alignment method for permanent magnet synchronous motor using incremental encoder
Design and control of an LCL-type single-phase grid-connected inverter with inverter current feedback using the phase-delay method
Comparative evaluation of a-b-c and stationary frame of reference for permanent magnet brushless DC motor drive applied for generation of switching pattern
Predicting CO and NOx emissions from gas turbines: novel data and a benchmark PEMS
Application of multiscale fuzzy entropy features for multilevel subject-dependent emotion recognition
Adaptive iir filter design using self-adaptive search equation based artificial bee colony algorithm
A novel method based on comparison using threshold scale for CFAR detectors under environments with conditions of electromagnetic interference
Global maximum operating point tracking for PV system using fast convergence firefly algorithm
Cilt: 27 - Sayı : 1
Automatic concept identification of software requirements in Turkish
Motion blur image deblurring using edge-based color patches
Neural network controller for nanopositioning of a smooth impact drive mechanism
Sensorless second-order switching surface for a three-level boost converter
InGaAs nBn SWIR detector design with lattice-matched InAlGaAs barrier
Bow-tie-shaped wideband conformal antenna with wide-slot for GPS application
Contribution of artificial proprioception on a dynamic finger flexion task
Investigating the occurrence mechanism of cytokine-like formations by the electromagnetic approach
A novel and unified approach for averaged channel capacity and averaged effective capacity analyses of diversity combining and multihop transmission schemes in flat fading environments
Electron energy loss spectroscopy simulation by a frequency domain surface integral equation solver
Iterative sensitivity matrix-based magnetic resonance conductivity tensor imaging
Optimal placement of switching and protection devices in radial distribution networks to enhance system reliability using the AHP-PSO method
Improving side lobe level of X-band microstrip Rotman lens utilizing nonuniform distribution of output ports
Optimal contract pricing of load aggregators for direct load control in smart distribution systems
Power electronic controller with time sharing switching strategy for grid connected PV systems
Evaluation of power system robustness in order to prevent cascading outages
Determination of distance between DC traction power centers in a 1500-V DC subway line with artificial intelligence methods
A compact wide-slot UWB antenna with reconfigurable and sharp dual-band notches for underlay cognitive radio applications
Thyristor-based "phase hopping" frequency conversion technique
A developed flywheel energy storage with built-in rotating supercapacitors
Three-channel control architecture for multilateral teleoperation under time delay
A fuzzy model of directional relationships from the phi-descriptor
Improved VSM control of PMSG-based wind farms for transient stability enhancement
A novel metaheuristic optimization algorithm: the monarchy metaheuristic
Heuristic optimization techniques for voltage stability enhancement of radial distribution network with simultaneous consideration of network reconfiguration and DG sizing and allocations
Improving anomaly detection in BGP time-series data by new guide features and moderated feature selection algorithm
Fuzzy-logic-based robust speed control of switched reluctance motor for low and high speeds
Convex polygon triangulation based on planted trivalent binary tree and ballot problem
Formally analyzed m-coupon protocol with confirmation code (MCWCC)
Optimized modeling of Ni-MH batteries primarily based on Taguchi approach and evaluation of used Ni-MH batteries
Data analysis through social media according to the classified crime
A tree-based approach for English-to-Turkish translation
Outage probability analysis and adaptive combiner for multiuser multipolarized antenna systems
StegoGIS: A new steganography method using the geospatial domain
A multiseed-based SVM classification technique for training sample reduction
A memory-efficient canonical data structure for decimal floating point arithmetic systems modeling and verification
Local directional-structural pattern for person-independent facial expression recognition
Detection of hemorrhage in retinal images using linear classifiers and iterative thresholding approaches based on firefly and particle swarm optimization algorithms
Optimal set of EEG features in infant sleep stage classification
On the stability of inverse dynamics control of flexible-joint parallel manipulators in the presence of modeling error and disturbances
Comparative analysis of a novel topology for single-phase Z-source inverter with reduced number of switches
Improvement of quantized adaptive switching median filter for impulse noise reduction in gray-scale digital images
Accurate and compact stochastic computations by exploiting correlation
A coordinated DC voltage control strategy for cascaded solid state transformer with star configuration
Fuzzy logic-based disparity selection using multiple data costs for stereo correspondence
Boltzmann analysis of electron swarm parameters in CHF3+CF4 mixtures
Synchronization and antisynchronization protocol design of chaotic nonlinear gyros: an adaptive integral sliding mode approach
Identifying criminal organizations from their social network structures
Cilt: 27 - Sayı : 2
On spectral analysis of the Internet delay space and detecting anomalous routing paths
A novel adaptive hysteresis DC-DC buck converter for portable devices
A novel design of an electromagnetically levitated vibrational viscometer for biomedical and clinical applications
Lexicon-based emotion analysis in Turkish
Antenna selection and transmission power for energy efficiency in downlink massive MIMO systems
Lung segmentation in chest radiographs using fully convolutional networks
Assessment of techno-economic benefits for smart charging scheme of electric vehicles in residential distribution system
Classification of generic system dynamics model outputs via supervised time series pattern discovery
Measurement of network-based and random meetings in social networks
Graph analysis of network flow connectivity behaviors
Approximation of planar curves
Cache pressure-aware caching scheme for content-centric networking
Cost-effective telemetry for energy network of an electricity distribution company: part I
A new computer-controlled platform for ADC-based true random number generator and its applications
Hybrid control of five-phase permanent magnet synchronous machine using space vector modulation
Prey-predator algorithm for discrete problems: a case for examination timetabling problem
Fitting a recurrent dynamical neural network to neural spiking data: tackling the sigmoidal gain function issues
Efficient virtual data center request embedding based on row-epitaxial and batched greedy algorithms
Design and fabrication of a dual-polarized, dual-band reflectarray using optimal phase distribution
Turkish lexicon expansion by using finite state automata
Design and development of a Stewart platform assisted and navigated transsphenoidal surgery
The biobjective multiarmed bandit: learning approximate lexicographic optimal allocations
A comparative study of author gender identification
Multiscanning mode laser scanning confocal microscopy system
Characterization of a high-speed radio-frequency sampling and demultiplexing circuit based on the cascade connection of pin photodiodes
TAPU: Test and pick up-based $k$-connectivity restoration algorithm for wireless sensor networks
A new spectral estimation-based feature extraction method for vehicle classification in distributed sensor networks
Prediction of preference and effect of music on preference: a preliminary study on electroencephalography from young women
A study on application container resource efficiency
Optimal range of loading for operating a fixed-speed wind turbine using a self-excited induction generator
Automated elimination of EOG artifacts in sleep EEG using regression method
Polyhedral conic kernel-like functions for SVMs
Multi-objective design optimization of a permanent magnet axial flux eddy current brake
Structure tensor adaptive total variation for image restoration
A novel accuracy assessment model for video stabilization approaches based on background motion
An improved tree model based on ensemble feature selection for classification
A polarity calculation approach for lexicon-based Turkish sentiment analysis
Robust compressed domain watermarking algorithm for video protection and authentication in noisy channels
A fast and memory-efficient two-pass connected-component labeling algorithm for binary images
A Kalman filter application for rainfall estimation using radar reflectivity measurements
Understanding attribute and social circle correlation in social networks
Low-latency and energy-efficient scheduling in fog-based IoT applications
Hybrid self-controlled precharge-free CAM design for low power and high performance
Selective word encoding for effective text representation
Probabilistic small-signal stability analysis of power system with solar farm integration
Performance tuning for machine learning-based software development effort prediction models
Dynamic Physarum Solver: a bio-inspired shortest path method of dynamically changing graphs
HyBiX: A novel encoding bitmap index for space- and time-efficient query processing
A hybrid model for the prediction of aluminum foil output thickness in cold rolling process
Power quality improvement of smart microgrids using EMS-based fuzzy controlled UPQC
Online network coding-based multicast routing in multichannel multiradio wireless mesh networks
An improved form of the ant lion optimization algorithm for image clustering problems
Speech enhancement using adaptive thresholding based on gamma distribution of Teager energy operated intrinsic mode functions
An approach to improve the performance of cooperative unmanned vehicle team
Multiellipsoidal extended target tracking with known extent using sequential Monte Carlo framework
Farsi document image recognition system using word layout signature
Refugees' social media activities in Turkey: a computational analysis and demonstration method
A novel hybrid teaching-learning-based optimization algorithm for the classification of data by using extreme learning machines
Improving undersampling-based ensemble with rotation forest for imbalanced problem
A generalized switching function-based discontinuous space vector modulation technique for unbalanced two-phase three-leg inverters
Optimal training and test sets design for machine learning
Cilt: 27 - Sayı : 3
Combined feature compression encoding in image retrieval
Invisible watermarking framework that authenticates and prevents the visualization of anaglyph images for copyright protection
Investigation on communication aspects of multiple swarm networked robotics
Hybrid parliamentary optimization and big bang-big crunch algorithm for global optimization
Channel estimation for OFDM-IM systems
Evaluation of losses in a secondary-side controlled wireless battery charging system
A beaconing-based roadside services discovery protocol for vehicular ad hoc networks
Automatic fault isolation and restoration of distribution system using JADE based Multi-Agents
Triangular slotted ground plane: a key to realizing high-gain, cross-polarization-free microstrip antenna with improved bandwidth
A hybrid of tropical-singular value decomposition method for salt and pepper noise removal
Domain adaptation on graphs by learning graph topologies: theoretical analysis and an algorithm
Plant disease and pest detection using deep learning-based features
Efficient hierarchical temporal segmentation method for facial expression sequences
Low-cost multiple object tracking for embedded vision applications
Can additional spectral bands be estimated from aerial color images?
Classification of the likelihood of colon cancer with machine learning techniques using FTIR signals obtained from plasma
A hybrid sentiment analysis method for Turkish
High-efficiency design of a grid-connected PV inverter based on interleaved flyback converter topology
An efficient retrieval algorithm of encrypted speech based on inverse fast Fourier transform and measurement matrix
Extending a sentiment lexicon with synonym--antonym datasets: SWNetTR++
Key word extraction for short text via word2vec, doc2vec, and textrank
Optimized bilevel classifier for brain tumor type and grade discrimination using evolutionary fuzzy computing
Hydrogen production system with fuzzy logic-controlled converter
Performance comparison of optimization algorithms in LQR controller design for a nonlinear system
Design of a portable and low-cost mass-sensitive sensor with the capability of measurements on various frequency quartz tuning forks
Identifying preferred solutions in multiobjective combinatorial optimization problems
Fast nonsingular terminal decoupled sliding-mode control utilizing time-varying sliding surfaces
A novel algorithm for frequency extraction of ABS signals by using DTDNNs
InGaN/GaN tandem solar cell parameter estimation: a comparative stud
Automatic landing of a low-cost quadrotor using monocular vision and Kalman filter in GPS-denied environments
A joint image dehazing and segmentation model
Mobility and traffic-aware resource scheduling for downlink transmissions in LTE-A systems
Generation rescheduling using multiobjective bilevel optimization
Queue length feedback-based solution of TCP Incast in data center networks
Energy and economic assessment of major free cooling retrofits for data centers in Turkey
On parameter adjustment of the fuzzy neighborhood-based clustering algorithms
A new failure protection algorithm for circuit breakers using the power loss of switching arc incidents
A distributed measurement architecture for inferring TCP round-trip times through passive measurements
Investigation of control of power flow by using phase shifting transformers: Turkey case study
Optimal rescheduling of real power to mitigate congestion using gravitational search algorithm
Evolutionary approaches for weight optimization in collaborative filtering-based recommender systems
Particle swarm optimization-based collision avoidance
Assessing wind energy potential using finite mixture distributions
Novel node deployment scheme and reliability quantitative analysis for an IoT-based monitoring system
Large-scale round-trip delay time analysis of IPv4 hosts around the globe
Task graph scheduling in the presence of performance fluctuations of computational resources
A process-tolerant low-power adder architecture for image processing applications
Monotone data modeling using rational functions
An analytical formula for selecting the feeding voltage and frequency in a TORUS-type nonslotted axial flux permanent magnet machine design
Vibration analysis of a novel magnetic-viscous nonlinear passive isolator via finite element simulation
An ambient assisted living system for dementia patients
Design of area-efficient IIR filter using FPPE
A novel resource clustering model to develop an efficient wireless personal cloud environment
IFIT: an unsupervised discretization method based on the Ramer-Douglas-Peucker algorithm
Efficient features for smartphone-based iris recognition
Impact of the primary user on the secondary user blocking probability in cognitive radio sensor networks
Cilt: 27 - Sayı : 4
A light-weight solution for blackhole attacks in wireless sensor networks
Elimination of useless images from raw camera-trap data
Spatial-aware global contrast representation for saliency detection
Web personalization issues in big data and Semantic Web: challenges and opportunities
Low leakage pocket junction-less DGTFET with biosensing cavity region
A computational study on aging effect for facial expression recognition
A method for indoor Wi-Fi location based on improved back propagation neural Network
Low-cost multimode diode-pumped Tm:YAG and Tm:LuAG lasers
Design of a range-segmented CMOS current-mode exponential circuit
QPSK-dual carrier modulation for ultra-wideband communication in body area network channels
An analytical model to assess DC characteristics of independent gate Si FinFETs
Verifiable dynamic searchable encryption
All-polymer ultrasonic transducer design for an intravascular ultrasonography application
A smart wireless sensor network node for fire detection
A postpruning decision algorithm based on loss minimization
An adaptive scheduling scheme for inhomogeneously distributed wireless ad hoc Networks
Prioritizing interdependent software requirements using tensor and fuzzy graphs
Early reliability assessment of component-based software system using colored petri net
Path-oriented random testing through iterative partitioning (IP-PRT)
Channel and carrier frequency offset estimation based on projection onto a bidimensional basis
Modified self-adaptive local search algorithm for a biobjective permutation flow shop scheduling problem
A comparative study of nonlinear Bayesian filtering algorithms for estimation of gene expression time series data
Energy-efficient scheduling for real-time tasks using dynamic slack reclamation
Improving the redundancy of Knuth?s balancing scheme for packet transmission Systems
A hybrid single-source shortest path algorithm
PV-based off-board electric vehicle battery charger using BIDC
Cloud-supported machine learning system for context-aware adaptive M-learning
DOP: Discover Objects and Paths, a model for automated navigation and selection in virtual environments
Transmission expansion planning based on a hybrid genetic algorithm approach under uncertainty
Real-time implementation of electronic power transformer based on intelligent controller
Patient comfort level prediction during transport using artificial neural network
Design of energy recovery systems: thermoelectric combi boiler generator and power analysis
Robust power system state estimation by appropriate selection of tolerance for the least measurement rejected algorithm
State-space identification of switching linear discrete time-periodic systems with known scheduling signals
In-field failure assessment of tractor hydraulic system operation via pseudospectrum of acoustic measurements
Performance enhancement of photovoltaic system using genetic algorithm- based maximum power point tracking
Between-host HIV model: stability analysis and solution using memetic computing
Space-track modulation and coding for high density aerial vehicle downlink networks with free space optical and visible light communications
Automatic generation control analysis of power system with nonlinearities and electric vehicle aggregators with time-varying delay implementing a novel control strategy
Toxicity prediction of small drug molecules of aryl hydrocarbon receptor using a proposed ensemble model
A new approach for parameter estimation of the single-diode model for photovoltaic cells/modules
A quasi-Z-source active neutral point clamped inverter topology employing symmetrical/unsymmetrical boost modulation control scheme for renewable energy resources
Available transfer capability enhancement with FACTS using hybrid PI-PSO
Design of inverted-F antenna for long-term evolution-based wireless handheld Devices
Wavelet energy-based stable and unstable power swing detection scheme for distance relays
Unsupervised deep feature embeddings for speaker diarization
Extraction and selection of statistical harmonics features for electrical appliances identification using k-NN classifier combined with voting rules method
Limited-data automatic speaker verification algorithm using band-limited phase-only correlation function
THD minimization for Z-source-based inverters with a novel sinusoidal PWM switching method
Effect of orientation of RF sources maintained within the enclosures on electrical shielding effectiveness performance
Word sense disambiguation using semantic kernels with class-based term values
Exploring bigram character features for Arabic text clustering
A control scheme for maximizing the delivered power to the load in a standalone wind energy conversion system
Fault-tolerant control of a PMSG-based wind turbine based on parallel interleaved converters
Particle swarm optimization approach to optimal design of an AFPM traction machine for different driving conditions
Electromagnetic radiation exposure of multioperator co-sited urban base stations
A comparative study on handwritten Bangla character recognition
Importance-based signal detection and parameter estimation with applications to new particle search
Adaptive switching gain sliding mode control for speed regulation in PMSMs
Optimal DG allocation for enhancing voltage stability and minimizing power loss using hybrid gray wolf optimizer
Design optimization using dielectric slab for efficient microwave heating
A new hybrid gravitational search-teaching-learning-based optimization method for the solution of economic dispatch of power systems
Cilt: 27 - Sayı : 5
Extracting accent information from Urdu speech for forensic speaker recognition
Heat flux capacity measurement and improvement for the test of superconducting logic circuits in closed-cycle cryostats
Schedulability analysis of real-time multiframe cosimulations on multicore platforms
A novel map-merging technique for occupancy grid-based maps using multiple robots: a semantic approach
Investigation of the mechanism of transport across the poly/monocrystalline silicon interface in polysilicon-emitter bipolar transistors based on variations in the interface treatment process
Towards wearable blood pressure measurement systems from biosignals: a review
Design of a substrate integrated waveguide matrix amplifier
Symptom-aware hybrid fault diagnosis algorithm in the network virtualization environment
A comprehensive methodology to evaluate the performance of a cooperative wireless network
A heuristic algorithm to find rupture degree in graphs
SoftSwitch: a centralized honeypot-based security approach using software-defined switching for secure management of VLAN networks
Sparsity-based three-dimensional image reconstruction for near-field MIMO radar imaging
A no-reference framework for evaluating video quality streamed through wireless network
Performance improvement of multiuser cognitive relay networks with full-duplex cooperative sensing and energy harvesting
Empirical single frequency network threshold for DVB-T2 based on laboratory experiments
An algorithm for line matching in an image by mapping into an $n$-dimensional vector space
Solving vehicle routing problem for multistorey buildings using iterated local search
Global stabilization of a class of fractional-order delayed bidirectional associative memory neural networks
Community detection in complex networks using a new agglomerative approach
Privacy issues in post dissemination on Facebook
Lightweight signature scheme to protect intellectual properties of Internet of things applications in system on chip field-programmable gate arrays
Detection of fraud risks in retailing sector using MLP and SVM techniques
HGAB3C: A new hybrid global optimization algorithm
Biometric person authentication framework using polynomial curve fitting-based ECG feature extraction
Test case prioritization and distributed testing of object-oriented program
An improved imperialist competitive algorithm for global optimization
Increasing Bluetooth Low Energy communication efficiency by presetting protocol parameters
Enhancing face pose normalization with deep learning
Sentence similarity using weighted path and similarity matrices
Speech emotion recognition using semi-NMF feature optimization
Method of limiting the emissivity of WSN networks
A hybrid of fuzzy theory and quadratic function for estimating and refining transmission map
Damping of low-frequency oscillation in power systems using hybrid renewable energy power plants
Segmented character recognition using curvature-based global image feature
A novel hardware-efficient spatial orientation tree-based image compression algorithm and its field programmable gate array implementation
I see EK: A lightweight technique to reveal exploit kit family by overall URL patterns of infection chains
Application of fuzzy logic on astronomical images' focus measures
Scale-invariant MFCCs for speech/speaker recognition
Research on the dynamic networking of smart meters based on characteristics of the collected data
TRMOR: a finite-state-based morphological analyzer for Turkish
Incremental author name disambiguation using author profile models and self-citations
Lower order controller design using weighted singular perturbation approximation
Parallel brute-force algorithm for deriving reset sequences from deterministic incomplete finite automata
Atomic-shaped efficient delay and data gathering routing protocol for underwater wireless sensor networks
Exploiting stochastic Petri nets with fuzzy parameters to predict efficient drug combinations for Spinal Muscular Atrophy
A robust SMES control for enhancing stability of distribution systems fed from intermittent wind power generation
A distributed load balancing algorithm for deduplicated storage
Diffusive representation modelling thermal and overvoltage for permanent magnet synchronous motor fed by voltage inverter
Adaptive canonical correlation analysis for harmonic stimulation frequencies recognition in SSVEP-based BCIs
Investigation of start-up conditions on electric submersible pump driven with flux switching motor
A robust ensemble feature selector based on rank aggregation for developing new VO\textsubscript{2}max prediction models using support vector machines
Bidding strategy for generators considering ramp rates in a day-ahead electricity market
Reusable and interactive classes: a new way of object composition
2018
Cilt: 26 - Sayı : 6
Human Sleep Scoring Based on K-Nearest Neighbors
Influence of thyristor-controlled series capacitor on wheeling cost incorporating the impact of real and reactive power losses
Detecting slow wave sleep and rapid eye movement stage using cortical effective connectivity
Estimation of the depth of anesthesia by using a multioutput least-square support vector regression
Sign language recognition with multi feature fusion and ANN classifier
An algorithm for image restoration with mixed noise using total variation regularization
An adaptive machine learning-based QoE approach in SDN context for video-streaming services
Comparative analysis of MABC with KNN, SOM, and ACO algorithms for ECG heartbeat classification
BVIRE improved algorithm for indoor localization based on RFID and a linear regression model
Improved method of heuristic classification of vowels from an acoustic signal
Fiber-optic interferometric sensor for monitoring automobile and rail traffic
Feature extraction using sequential cumulative bin and overlap mean intensity for iris classification
Classification and regression analysis using support vector machine for classifying and locating faults in a distribution system
Path loss model for indoor emergency stairwell environment at millimeter wave band for 5G network
A matched E-H plane T-junction for X-band applications
A selective frequency reconfigurable bandstop metamaterial filter for WLAN applications
FPGA implementation of a low-power and area-efficient state-table-based compression algorithm for DSLR cameras
SAR image time-series analysis framework using morphological operators and global and local information-based linear discriminant analysis
Application of ACF-wavelet feature extraction for classification of some artificial PD models of power transformer
The measurement of shielding effectiveness for small-in-size ferrite-based flat materials
Dynamic liquid level detection method based on resonant frequency difference for oil wells
Average modeling and evaluation of 18-pulse autotransformer rectifier unit without interphase transformers
EMI filter design based on the separated electromagnetic interference in switched mode power supplies
A frequency-tuned magnetic resonance-based wireless power transfer system with near-constant efficiency up to 24 cm distance
Improved design of axial flux permanent magnet generator for small-scale wind turbine
Reconstruction of geometrical and reflection properties of surfaces by using structured light imaging technique
The impact of transmission power levels set size on lifetime of wireless sensor networks in smart grids
Coordination of distance and directional overcurrent relays using a new algorithm: grey wolf optimizer
L-index based contingency filtering for voltage stability constrained reactive power planning
Horizontal diversity in test generation for high fault coverage
Constrained multiobjective PSO and T-S fuzzy models for predictive control
Management of a hybrid renewable power plant supplying an isolated rural load within a changing environment
Temporal specificity-based text classification for information retrieval
New optimization algorithm inspired by fluid mechanics for combined economic and emission dispatch problem
A distributed ADMM approach for energy-efficient resource allocation in mobile edge computing
A model-based transformation framework for designing and analyzing wireless sensor networks
Artificial immune system based wastewater parameter estimation
Extending co-citation using sections of research articles
Rapid translation of finite-element theory into computer implementation based on a descriptive object-oriented programming approach
A novel optimization method for solving constrained and unconstrained problems: modified Golden Sine Algorithm
Influence maximization in social networks: an integer programming approach
Adaptive antisingularity terminal sliding mode control for a robotic arm with model uncertainties and external disturbances
Estimating the selectivity of LIKE queries using pattern-based histograms
Controlling the speed and flux of a dual stator winding induction motor using an emotional intelligent controller and integration algorithm
Estimation of speed, armature temperature, and resistance in brushed DC machines using a CFNN based on BFGS BP
Attenuating saturated-regulator operation effect of brushless DC motors through genetic-based fuzzy logic estimator
Cilt: 26 - Sayı : 1
A strategy for improving NetClust server placement for multicloud environments
Automatic detection of the respiratory cycle from recorded, single-channel sounds from lungs
A new method based on pixel density in salt and pepper noise removal
Field-veri ed integrated EAF-SVC-electrode positioning model simulation and a novel hybrid series compensation control for EAF
Technoeconomic analysis of a grid-connected PV and battery energy storage system considering time of use pricing
Model reduction of discrete-time systems in limited intervals
Blood glucose control using an ABC algorithm-based fuzzy-PID controller
Analysis of ground return impedance calculation methods for modeling of underground cables for lightning studies
Improvement of heart attack prediction by the feature selection methods
Multimodal medical imaging repository and information system in epilepsy surgery
Transformer incipient fault diagnosis on the basis of energy-weighted DGA using an arti cial neural network
A novel multistage system for the detection and removal of pectoral muscles in mammograms
GNSS augmentation through Ku-band communication satellites with RTK realization
An optimized multiobjective CPU job scheduling using evolutionary algorithms
Estimating left ventricular volume with ROI-based convolutional neural network
Real-time chaff generation for a biometric fuzzy vault
Performance analysis of Hamming code for WSN-based smart grid applications
Energy-efficient and reliable data collection in wireless sensor networks
Weighted intensity hue saturation transform for image enhancement and pansharpening
Novel representations for a coherent threshold reliability system: a tale of eight signal ow graphs
Improved discrete sliding mode control strategy for pulse-width modulation recti er
Usage of segmentation for noise elimination in reconstructed images in digital holographic interferometry
Ultra low-power DC voltage limiter for RFID application in 0.18-μm CMOS technology
Decoupled indirect duty cycle PWM technique with carrier frequency adjustment for a matrix converter
An efficient algorithm to decompose a compound rectilinear shape into simple rectilinear shapes
Two-area load frequency control with redox ow battery using intelligent algorithms in a restructured scenario
Signal processing in recursive rejection filters in the transient mode
Outputs bounds for linear systems with repeated input signals: existence, computation and application to vehicle platooning
Supercapacitor utilization for power smoothening and stability improvement of a hybrid energy system in a weak grid environment
Model-free approach based on intelligent PD controller for vertical motion reduction in fast ferries
Improving the incremental conductance algorithm for two-stage grid-connected photovoltaic systems
Determination of harmonic current contributions based on robust state estimation
Fragment-based transmission using minimum power consumed routing (FBTMPCR) algorithm of a MIMO integrated MANET
Damping wide-area oscillations in power systems: a model predictive control design
New results on the global asymptotic stability of certain nonlinear RLC circuits
Neural network-based adaptive tracking control for a nonholonomic wheeled mobile robot with unknown wheel slips, model uncertainties, and unknown bounded disturbances
Extra high voltage transmission line operation simulation using the actual corona-loss characteristics
RLGC(f) modeling of a busbar distribution system via measured S-parameters at CENELEC and FCC bands
Modi ed stacking ensemble approach to detect network intrusion
Last level cache partitioning via multiverse thread classi cation
A new semianalytical method for analysis of the disc-type permanent magnet hysteresis motor in steady-state operational conditions
Two-stage optimization method for power loss and voltage pro le control in distribution systems with DGs and EVs using stochastic second-order cone programming
TEMPEST font counteracting a noninvasive acquisition of text data
DEMIAL: an active learning framework for multiple instance image classi cation using dictionary ensembles
An elitist approach for solving the traveling salesman problem using an animal migration optimization algorithm
Comparative analysis of different cooling fin types for countering LED luminaires' heat problems
Construction of a Turkish proposition bank
Resolving namesakes using the author's social network
A novel perturbed particle swarm optimization-based support vector machine for fault diagnosis in power distribution systems
Multiobjective aerodynamic optimization of a microscale ducted wind turbine using a genetic algorithm
An investigation on characteristics of tracking failure in epoxy resin with harmonic and fractal dimension analysis
Transformer incipient fault diagnosis on the basis of energy-weighted DGA using an articial neural network
Improved discrete sliding mode control strategy for pulse-width modulation rectier
Last level cache partitioning via multiverse thread classication
Field-veried integrated EAF-SVC-electrode positioning model simulation and a novel hybrid series compensation control for EAF
Modied stacking ensemble approach to detect network intrusion
Two-stage optimization method for power loss and voltage prole control in distribution systems with DGs and EVs using stochastic second-order cone programming
DEMIAL: an active learning framework for multiple instance image classication using dictionary ensembles
Cilt: 26 - Sayı : 2
Data clustering using eDE, an enhanced differential evolution algorithm with fuzzy c-means technique
Consistency-based trust management in P2P networks
Indoor localization of wireless emitter using direct position determination and particle swarm optimization
Presentation of a multilayer nanosensor for gas detection by palladium-membrane with emphasis on hydrogen gas
Highly accurate and sensitive short read aligner
Volumetric 3D reconstruction of real objects using voxel mapping approach in a multiple-camera environment
Design and implementation of a new speed planner for semiautonomous systems
Automated testing for distributed databases with fuzzy fragment reallocation
Upper envelope detection of ECG signals for baseline wander correction: a pilot study
Nonintrusive identification of residential appliances using harmonic analysis
Insider threat detection of adaptive optimization DBN for behavior logs
Choice of battery energy storage for a hybrid renewable energy system
Relation extraction via one-shot dependency parsing on intersentential, higher-order, and nested relations
QRMW: quantum representation of multi wavelength images
Schedulability test for IMA systems based on mixed integer linear programming formulation
A novel solution in the simultaneous deep optimization of RGB-D camera calibration parameters using metaheuristic algorithms
Wide bandwidth CMOS four-quadrant mixed mode analogue multiplier using a second generation current conveyor circuit
Improved IIR-type fractional order digital integrators using cat swarm optimization
An efficient technique based on firefly algorithm for pilot design process in OFDM-IDMA systems
Brain-computer interface: controlling a robotic arm using facial expressions
Concurrency control algorithms for deduplicated cloud storage
Nonlinear analysis of hybrid phase-controlled systems in z-domain with convex LMI searches
Optimizing FPGA-based CNN accelerator for energy efficiency with an extended Roofline model
An adaptive fault diagnosis approach using pipeline implementation for railway inspection
MutatedSocioAgentSim (MSAS): semisupervised modelling of multiagent simulation to predict and detect the mutation in a camouflaged social network
Design and comparison of embedded air coils for small satellites
Prediction of the mass unbalance of a variable speed induction motor by stator current multiple approaches
Classification of surface electromyogram signals based on directed acyclic graphs and support vector machines
Electrostatic discharge currents and their derivatives' approximation by piecewise power-exponential functions
Measurement of active power, electrical energy, and TRMS voltage and current using the dual slope conversion technique
Analysis and design of a converter based on noncascading structure
Transient- and probabilistic neural network-based fault classification in EHV three-terminal lines
Energy efficiency with an application container
Usability evaluation of a web-based ontology browser: the case of TSONT
Simplification of harmonics filter for a matrix converter-based drive
Optimal sizing hydrokinetic-photovoltaic system for electricity generation in a protected wildlife area of Ecuador
Electronically tunable grounded/floating inductance simulators using Z-copy CFCCC
Unit commitment considering the emergency demand response programs and interruptible/curtailable loads
High-speed switched reluctance machine: natural frequency calculation and acoustic noise prediction
Investigation on optimal parameter selection for LLC half-bridge resonant converter based on FHA
Cilt: 26 - Sayı : 5
Diode clamped gate driver-based high voltage pulse generator for electroporation
Genetic programming-based pseudorandom number generator for wireless identification and sensing platform
Dynamic CPU scheduling for load balancing in virtualized environments
Design of a fractional order PID controller with application to an induction motor drive
Large vocabulary recognition for online Turkish handwriting with sublexical units
Region characteristics-based fusion of spatial and transform domain image denoising methods
Feature selection algorithm for no-reference image quality assessment using natural scene statistics
A comparative analysis of 1-level multiplier-free discrete wavelet transform implementations on FPGAs
Image reconstruction for frequency-domain diffuse optical tomography
Deep learning based brain tumor classification and detection system
Adaptive bit-plane selection-based low complexity motion estimation for screen content coding
Improving the sensitivity and accuracy of microcantilever biosensors by a truss structure within air medium
An efficient structure for T-CNTFETs with intrinsic-n-doped impurity distribution pattern in drain region
Tunable Class-F high power amplifier at X-Band using GaN HEMT
A low-complexity RARE-based 2-D DOA estimation algorithm for a mixture of circular and strictly noncircular sources
A noninvasive time-frequency-based approach to estimate cuffless arterial blood pressure
Neuron modeling: estimating the parameters of a neuron model from neural spiking data
A low-cost and flexible architecture of digitally controlled DC-DC converter to improve dynamic performance
A data-aware write-assist 10T SRAM cell with bit-interleaving capability
Method of singular integral equations in diffraction by semiinfinite grating E-polarization case
A dynamic channel assignment method for multichannel multiradio wireless mesh networks
Pattern diversity antenna for on-body and off-body WBAN links
Development of intelligent decision support system using fuzzy cognitive maps for migratory beekeepers
Beveled-shaped super-wideband planar antenna
Design of an on-chip Hilbert fractal inductor using an improved feed forward neural network for Si RFICs
Long-term multiobject tracking using alternative correlation filters
Mn-Zn ferrite line EMI suppressor for power switching noise in the impulse/high current bias regime
Optimization in the catalyst optimizer of Spark SQL
Prediction of gross calorific value of coal based on proximate analysis using multiple linear regression and artificial neural networks
Secure access control in multidomain environments and formal analysis of model specifications
Forecasting of short-term wind speed at different heights using a comparative forecasting approach
Extended correlated principal component analysis with SVM-PUK in opinion mining
Optimization of large electric power distribution using a parallel genetic algorithm with dandelion strategy
Improved transient response capacitor less low dropout regulator employing adaptive bias and bulk modulation
Enlarging multiword expression dataset by co-training
Path planning and energy flow control of wireless power transfer for sensor nodes in wireless sensor networks
Performance evaluation of alumina trihydrate and silica-filled silicone rubber composites for outdoor high-voltage insulations
Q-axis current perturbation based active islanding detection for converter interfaced distributed generators
Multilabel learning for the online transient stability assessment of electric power systems
Design of a multiagent-based smart microgrid system for building energy and comfort management
An analysis of centennial wind power targets of Turkey
Symbolic interpretation of artificial neural networks using genetic algorithms
A novel FRT strategy based on an analytical approach for PMSG-based wind turbines with ESS power rating reduction
A new technique for optimum excitation of switched reluctance motor drives over a wide speed range
Full bridge converter based independent phase control of a permanent magnet reluctance generator for wind power conversion systems
An enhanced grey wolf optimization algorithm with improved exploration ability for analog circuit design automation
Incremental Banerjee test conditions committing for robust parallelization framework
Robust restoration of distribution systems considering DG units and direct load control programs
Cilt: 26 - Sayı : 3
Investigating the experimental limits of the Brewster's angle method
A compact branch-line coupler design using low-pass resonators and meandered lines open stubs
A new inset-fed UWB printed antenna with triple 3.5/5.5/7.5-GHz band-notched characteristics
Modeling of realistic heart electrical excitation based on DTI scans and modified reaction diffusion equation
Circularly polarized implantable antenna characterization for retinal prosthesis systems
Improvement of air pollution prediction in a smart city and its correlation with weather conditions using metrological big data
Compact local Gabor directional number pattern for facial expression recognition
Gradient domain photomontage via interactive object selection
A comparative study on different types of metamaterials for enhancement of microstrip patch antenna directivity at the Ku-band (12 GHz)
Interactive process miner: a new approach for process mining
Digital image copy-move forgery detection based on discrete fractional wavelet transform
Dynamic simulation of the CAD model in SimMechanics with multiple uses
Ab initio study of the structural, electronic, and magnetic properties of Co$_{2}$FeGa and Co$_{2}$FeSi and their future contribution to the building of quantum devices
A modeling and simulation study about CO$_{2}$ amount with web-based indoor air quality monitoring
High-speed data deduplication using parallelized cuckoo hashing
New approach in two-area interconnected AGC including various renewable energy sources using PSO
Composite vector quantization for optimizing antenna locations
Experimental realization of a multi-input buck--boost DC--DC converter
Topological feature extraction of nonlinear signals and trajectories and its application in EEG signals classification
Laser printer as a source of sensitive emissions
Conception and control of a WTGS system using a novel control technique based on MPC-DPC-MVF with a switch optimization process
The application of analytical mechanics in a multimachine power system
Analyzing methods of network topologies based on chordal rings
Will it pass? Predicting the outcome of a source code review
Higher order sliding mode controller for urea-SCR system
Bagged tree classification of arrhythmia using wavelets for denoising, compression, and feature extraction
Diagnosis of reverse osmosis desalination water system using bond graph approach
Probabilistic dynamic security assessment of large power systems using machine learning algorithms
A novel DTC-SVM approach for two parallel-connected induction motors fed by matrix converter
Two-stage feature selection using ranking self-adaptive differential evolution algorithm for recognition of acceleration activity
Hardware Trojan detection and localization based on local detectors
Forecasting the Baltic Dry Index by using an artificial neural network approach
Model predictive control of a dual induction motor drive fed by a single voltage source inverter
Özyeğiin Biopsy Robot: System integration architecture and motion compensation of a moving target
High-power density switched reluctance machine development for high-speed spindle applications
Performance analysis of radial and axial flux PMSM based on 3D FEM modeling
Effect of intuitionistic fuzzy normalization in microarray gene selection
An integrated approach for the development of an electric vehicle powertrain: design, analysis, and implementation
Frequency equivalent circuits for the on and off state of an optically triggered switch based on a PIN photodiode
Virtual force-based intelligent clustering for energy-efficient routing in mobile wireless sensor networks
A new method for detecting jittered PRI in histogram-based methods
Gait pattern discrimination of ALS patients using classification methods
Implementing universal dependency, morphology, and multiword expression annotation standards for Turkish language processing
Adaptive collaborative speed control of PMDC motor using hyperbolic secant functions and particle swarm optimization
Ab initio study of the structural, electronic, and magnetic properties of Co2FeGa and Co2FeSi and their future contribution to the building of quantum devices
Cilt: 26 - Sayı : 4
Optimum, projected, and regularized extreme learning machine methods with singular value decomposition and L$_{2}$-Tikhonov regularization
Reliable data gathering in the Internet of Things using artificial bee colony
Compact microstrip lowpass filter with ultrasharp response using a square-loaded modified T-shaped resonator
Anomaly detection through keystroke and tap dynamics implemented via machine learning algorithms
Simulation of infinite periodic graphene planar grating in the THz range by the method of singular integral equations
A model of QoS differentiation burst assembly with padding for improving the performance of OBS networks
A novel model for minimizing unnecessary handover in heterogeneous networks
Lorenz-like system design using cellular neural networks
Grid-connected quasi-z-source inverter with battery
Novel low-loss microstrip triplexer using coupled lines and step impedance cells for 4G and WiMAX applications
Hardware implementation and comparison of displacement retrieval algorithms for a laser diode-based optical feedback interferometric sensor
Automated citation sentiment analysis using high order n-grams: a preliminary investigation
Novel modified impedance-based methods for fault location in the presence of a fault current limiter
IONOLAB-MAP: An automatic spatial interpolation algorithm for total electron content
Reduction of PMUs via hybrid PMU-RTU communication changeover in the case of cyberattack on vulnerable power transmission lines
User interaction in hands-free gaming: a comparative study of gaze-voice and touchscreen interface control
Performance estimation and analysis of power line communication using single-ended loop testing
Reducing power system model dimensions based on linearization for static analysis
Presenting a method to perform cyber maneuvers
SAR image denoising based on patch ordering in nonsubsample shearlet domain
Minimizing path loss prediction error using k-means clustering and fuzzy logic
Threshold-based distributed DDoS attack detection in ISP networks
Optimal coordination of overcurrent protection in the presence of SFCL and distributed generation
Application of domination integrity of graphs in PMU placement in electric power networks
Study on variability smoothing benefits of wind farm cluster
Real-time implementation of three-level inverter-based D-STATCOM using neuro-fuzzy controller
A generalized detection system to detect distributed denial of service attacks and flash events for information theory metrics
Cache-timing attacks without a profiling phase
Modified acceleration feedback for practical disturbance rejection in motor drives
An efficient recurrent fuzzy CMAC model based on a dynamic-group--based hybrid evolutionary algorithm for identification and prediction applications
Performance analysis of distributed fiber Raman amplifiers employing higher order pumping schemes in optical transmission systems
On the power handling of a high power combiner for industrial, scientific, and medical applications
SF6 gas-insulated 50-kVA distribution transformer design
Research on power-frequency electromagnetic interference model of multicore twisted signal cable of high-speed railway
Real-time power system dynamic security assessment based on advanced feature selection for decision tree classifiers
A novel single-inductor eight-channel light-emitting diode driver for low power display backlight applications
An automatic extraction algorithm of high voltage transmission lines from airborne LIDAR point cloud data
A novel efficient TSV built-in test for stacked 3D ICs
2017
Cilt: 25 - Sayı : 5
Calculation of creepage discharge safety factors against the tangential component of electric fields in the insulation structure of power transformers
On the independence of statistical randomness tests included in the NIST test suite
The reduction of semiconductor devices in a flying capacitor-based multilevel converter for use as an SSSC
Multiresponse optimization to improve the torque behavior of an outer-rotor permanent-magnet machine using gray relational analysis based on the Taguchi method
Planar inverted-f antenna for universal serial bus dongle applications
Modeling and control of a permanent-magnet brushless DC motor drive using a fractional order proportional-integral-derivative controller
Active-only variable-gain low-pass filter for dual-mode multiphase sinusoidal oscillator application
Lossless predictive coding of electric signal waveforms
Time-jerk optimal trajectory planning of a 7-DOF redundant robot
Heuristic algorithm-based estimation of rotor resistance of an induction machine by slot parameters with experimental verification
A new and secure digital image scrambling algorithm based on 2D cellular automata
A linear magnetorheological brake with multipole outer coil structure for high on-state and low off-state force outputs
Nonlinear model predictive control based on fuzzy wavelet neural network and chaos optimization
A meander coupled line wideband power divider with open stubs and DGS for mobile application
Robust H$\infty $ control for chaotic supply chain networks
Improved resettable integrator control for a bridgeless interleaved AC/DC converter
A new word-based compression model allowing compressed pattern matching
Effect of uniform and Dolph-Chebyshev excitations on the performance of circular array antennas
Design of adjustable T-shaped and Y-shaped photonic crystal power splitters for TM and TE polarizations
Numerical study of AC loss of two-layer HTS power transmission cables composed of coated conductors with a ferromagnetic substrate
Performance comparison of the notable acceleration- and angle-based guidance laws for a short-range air-to-surface missile
Promoting peak shaving while minimizing electricity consumption payment for residential consumers by using storage devices
A reduced-order observer based on stator flux estimation with straightforward parameter identification for sensorless control of DFIGs
Fault location determination for transmission lines with different series-compensation levels using transient frequencies
Feedback delay compensation of a visual servoing system using a piecewise continuous and current estimator-based observer
Adaptive joint block-weighted collaborative representation for facial expression recognition
Force and torque parameter estimation for a 4-pole hybrid electromagnet by ANFIS hybrid learning algorithm
Space-time block coded spatial modulation scheme enhanced by employing an intersymbol phase and power allocation
Performance analysis of the link selection for secure device-to-device communications with an untrusted relay
Median filtering detection based on variations and residuals in image forensics
A spatial load forecasting method based on load regularity analysis
Robust control for line-of-sight stabilization of a two-axis gimbal system
Experimental analysis of the temperature dependence of the Brillouin gain spectrum in short-length single-mode fiber
High inductance fractal inductors for wireless applications
Fault location in distribution systems with DG based on similarity of fault impedance
The design of a novel and portable energy performance-measuring device for household refrigerators
Concurrent optimal design of TCSC and PSS using symbiotic organisms search algorithm
Prognosis of muscular dystrophy with extrinsic and intrinsic descriptors through ensemble learning
Inverse kinematics of a 7-DOF redundant robot manipulator using the active set approach under joint physical limits
A low power memoryless ROM design architecture for a direct digital frequency synthesizer
A new approach to pulse deinterleaving based on adaptive thresholding
New approach to design a compact triband bandpass filter using a multilayer structure
A new proposal for the design of hybrid AC/DC microgrids toward high power quality
A novel optical flow-based representation for temporal video segmentation
Using the variable width in a planar inductor on Kapton for optimizing its performance
Low-frequency exposure analysis using electric and magnetic field measurements and predictions in the proximity of power transmission lines in urban areas
Design of a sharp response microstrip lowpass filter using taper loaded and radial stub resonators
Robust optimal stabilization of balance systems with parametric variations
Automated module for characterization of reference standards of capacitance by impedance-matrix method
Designing a regulatory framework for efficient integration of distributed generation technologies
Broadband high power stripline compact multisection coupled-line coupler for VHF and UHF applications
Developing a model and software for energy efficiency optimization in the building design process: a case study in Turkey
An ant colony optimization algorithm-based classification for the diagnosis of primary headaches using a website questionnaire expert system
An electronic portable device design to spectroscopically assess fruit quality
Cavitation detection in centrifugal pumps using pressure time-domain features
EKF-based self-regulation of an adaptive nonlinear PI speed controller for a DC motor
Multiverse optimized fuzzy-PID controller with a derivative filter for load frequency control of multisource hydrothermal power system
Study and analysis of new pulsed electric field treatment chamber configurations for food extraction
Creation of a dynamic model of the electrification and traction power system of a 25 kV AC feed railway line together with analysis of different operation scenarios using MATLAB/Simulink
Sphere decoding algorithm for multiuser detection in a distributed antenna system
S-visibility problem in VLSI chip design
LED printers and safe fonts as effective protection against the formation of unwanted emission
Investigation of the computational speed of Laguerre network-based MPC in the thermal control of energy-efficient buildings
Discrete design optimization of distribution transformers with guaranteed optimum convergence using the cuckoo search algorithm
Regularized estimation of Hammerstein systems using a decomposition-based iterative instrumental variable method
Indirect adaptive neurofuzzy Hermite wavelet based control of PV in a grid-connected hybrid power system
A simple approach to extract the unknown parameters of PV modules
New magnet shape for reducing torque ripple in an outer-rotor permanent-magnet machine
Fractional-order controller design in frequency domain using an improved nonlinear adaptive seeker optimization algorithm
Sample group and misplaced atom dictionary learning for face recognition
A model of optimal burst assembly for delay reduction at ingress OBS nodes
Generalized referenceless image quality assessment framework using texture energy measures and pattern strength features
Joint transceiver FIR filter design for multiuser MIMO channel shortening equalization and full equalization using channel duality
Multiobjective distributed model predictive control method for facility environment control based on cooperative game theory
Power oscillation damping control by PSS and DFIG wind turbine under multiple operating conditions
Cilt: 25 - Sayı : 1
A multifunctional DSTATCOM for power quality improvement
Adaptive control of pure-feedback systems in the presence of parametric uncertainties
A high performance hysteresis current control of a permanent magnet synchronous motor drive
A new approach for optimal reactive power flow of MTDC systems using the ABC algorithm
A comparative analysis of classification methods for hyperspectral images generated with conventional dimension reduction methods
Comparison of group key establishment protocols
An efficient global technique for solving the network constrained static and dynamic economic dispatch problem
Naive forecasting of household natural gas consumption with sliding window approach
DTreeSim: A new approach to compute decision tree similarity using re-mining
Neville interpolation-based normal estimation
A game-theoretic framework for active distribution network planning to benefit different participants under the electricity market
Dynamic model of wind power balancing in hybrid power system
Unknown input observer based on LMI for robust generation residuals
GICA: Imperialist competitive algorithm with globalization mechanism for optimization problems
Low power 3rd order feedforward sigma delta ADC design
Novel scheduling algorithm for optimizing real-time multimedia performance in Long Term Evolution-Advanced
Periodic control for the cart pendulum system with structured uncertainty
The impact of sampling frequency and amplitude modulation index on low order harmonics in a 3-phase SV-PVM voltage source inverter
An online approach for feature selection for classification in big data
Implementation of a personal area network for secure routing in MANETs by using low-cost hardware
A symmetrically feeding structure for dual-polarized feeds
Minimizing scheduling overhead in LRE-TL real-time multiprocessor scheduling algorithm
Application of singular value decomposition algorithm for implementing power amplifier linearizer
Exploiting kernel-based feature weighting and instance clustering to transfer knowledge across domains
Pixel- versus object-based classification of forest and agricultural areas from multiresolution satellite images
An intelligent approach in delay tolerant network routing
Implementation of zero voltage switched SEPIC/ZETA bidirectional converter for low power applications using FPGA
Probabilistic data fusion model for heart beat detection from multimodal physiological data
A measurement study of internet exchange points (IXPs): history and future prediction
Channel estimation using an adaptive neuro fuzzy inference system in the OFDM-IDMA system
SOTARM: Size of transaction-based association rule mining algorithm
A hybrid tracking system for image-guided spine surgery using a tracked mobile C-arm: a phantom study
A hybrid MACO and BFOA algorithm for power loss minimization and total cost reduction in distribution systems
Design of a three-phase multistage axial flux permanent magnet generator for wind turbine applications
A data-aware cognitive engine for scheduling data intensive applications in a grid
Analysis of reconstruction performance of magnetic resonance conductivity tensor imaging (MRCTI) using simulated measurements
Protection coordination for a distribution system in the presence of distributed generation
Automatic instrumental platform for the measurement of the characteristics of ferromagnetic materials based on LabVIEW
A new efficient block matching data hiding method based on scanning order selection in medical images
Transmission power control using state estimation-based received signal strength prediction for energy efficiency in wireless sensor networks
Performance comparison of axial-flux-modulated motor with two pole-slot combinations
ADSLANF: A negotiation framework for cloud management systems using a bulk negotiation behavioral learning approach
A new approach for digital image watermarking to predict optimal blocks using artificial neural networks
Design fabrication and test of an X-band dual polarized aperture-coupled reflectarray element for beam switching
Pseudorandom number generator based on Arnold cat map and statistical analysis
An efficient hybrid data gathering algorithm based on multihop and mobile elements in WSNs
A computer-based modification solution for old type of electro-controlled mechanical Jacquard looms
Robust adaptive fuzzy control of a three-phase active power filter based on feedback linearization
Implementation of SVC based on grey theory and fuzzy logic to improve LVRT capability of wind distributed generations
Cilt: 25 - Sayı : 2
Comparative study for identification of multiple alarms in telecommunication networks
Turkish synonym identification from multiple resources: monolingual corpus, mono/bilingual online dictionaries, and WordNet
A novel direct torque control using second order continuous sliding mode of a doubly fed induction generator for a wind energy conversion system
Electrical design and testing of a 500 kW doubly fed induction generator for wind power applications
A power sharing control for microgrids based on extrapolation of injecting power and power-angle control
Modeling hybrid modulation strategy with nearest leveled vector switching pattern in space vector control technique for multilevel inverters
Hadoop framework implementation and performance analysis on a cloud
Obstacle avoidance for mobile robot based on improved dynamic window approach
Design and walking pattern generation of a biped robot
Mutual correlation of NIST statistical randomness tests and comparison of their sensitivities on transformed sequences
A 2-D analytical model for cylindrical gate tunnel FET (CG-TFET) based on center potential
A novel DDCC+ based first-order current-mode active-C all-pass filter using a grounded capacitor
A metaheuristic based on the tabu search for hardware-software partitioning
A new mechanism to improve video streaming in P2P networks using helper nodes
A modified genetic algorithm for a special case of the generalized assignment problem
Nonlinear acoustic echo cancellation using an adaptive Hammerstein block structure based on a generalized basis function
An effective empirical approach to VoIP traffic classification
Classifications of disturbances using wavelet transform and support vector machine
A self-tuning NeuroFuzzy feedback linearization-based damping control strategy for multiple HVDC links
A novel wound field flux switching machine with salient pole rotor and nonoverlapping windings
A combined approach based on fuzzy AHP and fuzzy inference system to rank reviewers in online communities
Modeling and analysis of a novel dual open-end stator windings wound rotor synchronous machine with dampers
Multitier decentralized control scheme using energy storage unit and load management in inverter-based AC microgrids
An optimized buffer insertion algorithm with delay-power constraints for VLSI layouts
Implementation of a web-based service for mobile application risk assessment
Full occlusion handling for pedestrian tracking via hybrid system
Design and analysis of a reduced ultrawideband band-notched band-pass filter
On the application of the spectral element method in electromagnetic problems involving domain decomposition
Enhanced hybrid method of divide-and-conquer and RBF neural networks for function approximation of complex problems
Electronically tunable MOS-only current-mode high-order band-pass filters
The effect of fault current limiter size and type on current limitation in the presence of distributed generation
Universal and stable medical image generation for tissue segmentation (The unistable method)
Optimized designs of reversible arithmetic logic unit
Modeling lattice-matched InP-based multijunction solar cells
Single event multiple upset-tolerant SRAM cell designs for nano-scale CMOS technology
Implementation of a flywheel energy storage system for space applications
Traffic density estimation via KDE and nonlinear LS
Advanced probabilistic power flow methodology for power systems with renewable resources
A clustering approach using a combination of gravitational search algorithm and k-harmonic means and its application in text document clustering
A 3D simulation of the formation of primary platelet thrombi based on a hybrid computational model
A comparison of feature extraction techniques for malware analysis
Iris nevus diagnosis: convolutional neural network and deep belief network
Assessing the importance of features for detection of hard exudates in retinal images
Comparison of using the genetic algorithm and cuckoo search for multicriteria optimisation with limitation
An inductorless wideband LNA with a new noise canceling technique
Lambda optimization of constraint violating units in short-term thermal unit commitment using modified dynamic programming
Dual broadband antenna with compact double ring radiators for IEEE 802.11 ac/b/g/n WLAN communication applications
Protein fold classification with Grow-and-Learn network
Vector control of unbalanced 3-phase IM using forward and backward components
Tunable microstrip dual-band bandpass filter for WLAN applications
Maximum size of the pareto cost sets for multi-constrained optimal routing
DVCC-based floating capacitance multiplier design
Energy-hole avoidance and lifetime enhancement of a WSN through load factor
A compact wideband printed antenna for free-space radiometric detection of partial discharge
Truncation thresholds: a pair of spike detection thresholds computed using truncated probability distributions
Performance evaluation of simple CSRZ-QDPSK transmitter configurations for 20-Gbps PON applications
Effect of micro/nano-SiO2 on mechanical, thermal, and electrical properties of silicone rubber, epoxy, and EPDM composites for outdoor electrical insulations
An advanced robust fault-tolerant tracking control for a doubly fed induction generator with actuator faults
Design and operation of a multifunction photovoltaic power system with shunt active filtering using a single-stage three-phase multilevel inverter
A cell-based 5-MHz on-chip clock generator
Design and realization of a novel planar array antenna and low power LNA for Ku-band small satellite communications
Experimental evaluation and FDTD method for predicting electromagnetic fields in the near zone radiated by power converter systems
A methodology to include real-life failure data in the failure rate estimation of power distribution systems
Design and implementation of a modified communication disturbance observer for teleoperation systems
New static output feedback stabilization and multivariable PID-controller design methods for unstable linear systems via an ILMI optimization approach
Early detection of sudden cardiac death using Poincaré plots and recurrence plot-based features from HRV signals
Threshold distance-based cluster routing protocols for static and mobile wireless sensor networks
Whole body motion control of humanoid robots using bilateral control
Design and test of a new development FPGA board for mobile robot research
Communications protocol for power management in smart homes
Investigation of secondary cooling design enhancements in thermally limited compact notebooks
Comparing of phase shifting method and one-dimensional continuous wavelet transform method for reconstruction using phase-only information
An extremely wideband radome-enclosed cylindrical dipole antenna for wireless communication
Optimal determination of island boundaries besides the optimal placement of D-STATCOM devices and DG units
Transient state estimation with the Bergeron transmission line model
Cilt: 25 - Sayı : 4
Intelligent reorganized discrete cosine transform for reduced reference image quality assessment
An experimental study of indoor RSS-based RF fingerprinting localization using GSM and Wi-Fi signals
A fast feature selection approach based on extreme learning machine and coefficient of variation
Axial flux PM BLDC motor design methodology and comparison with a radial flux PM BLDC motor
An RC-triggered ESD clamp for high-voltage BCD CMOS processes
A stable marriage-based request routing framework for interconnection CDNs
Modeling of time delay-induced multiple synchronization behavior of interneuronal networks with the Izhikevich neuron model
Application of reinforcement learning for active noise control
Designing a VM-level vertical scalability service in current cloud platforms: a new hope for wearable computers
Support vector machines for predicting the hamstring and quadriceps muscle strength of college-aged athletes
A switched current controller with commutation delay compensation for the reduction of commutation torque ripple in BLDCM drives
A novel approach for extracting ideal exemplars by clustering for massive time-ordered datasets
Time-time matrix z-score vector-based fault analysis method for series-compensated transmission lines
Compact electronically tunable quadrature oscillator using single voltage differencing gain amplifier (VDGA) and all grounded passive elements
Late fusion of facial dynamics for automatic expression recognition
Taller Peaks: an improved spike detection algorithm that simultaneously reduces type I and type II errors for Wave_clus
Study on the recognition method of airport perimeter intrusion incidents based on laser detection technology
TRNSYS simulation of solar chimney power plants with a heat storage layer
Impact of distributed generator controllers on the coordination of overcurrent relays in microgrid
Adaptive decision fusion based framework for short-term wind speed and turbulence intensity forecasting: case study for North West of Turkey
Dynamic slot-based carrier scheduling scheme for downlink multimedia traffic over LTE advanced networks with carrier aggregation
A secure cross-layer AODV routing method to detect and isolate (SCLARDI) black hole attacks for MANET
Case study on wavelet choice based on statistical image quality measures
Robust seed detection for coronary arteries segmentation using thresholded Frangi response
Development of PMU-based backup wide area protection for power systems considering HIF detection
Hesitant fuzzy pairwise comparison for software cost estimation: a case study in Turkey
A spectral element method for the solution of magnetostatic fields
Evaluation of distance education websites: a hybrid multicriteria approach
MOPSO-based predictive control strategy for efficient operation of sensorless vector-controlled fuel cell electric vehicle induction motor drives
Extraction of geometric and prosodic features from human-gait-speech data for behavioral pattern detection: Part I
Temporal preconditioners for marching-on-in-time-based time domain integral equation solvers
Paper recommendation using citation proximity in bibliographic coupling
Token-based authentication method for M2M platforms
A new approach for minimizing torque ripple in a BLDC motor drive with a front end IDO dc-dc converter
A parametric battery state of health estimation method for electric vehicle applications
An ultralow power, 0.003-mm$^{2}$ area, voltage to frequency-based smart temperature sensor for -55 $^{\circ}$C to +125 $^{\circ}$C with one-point calibration
Analysis of a joined split-ring FSS structure characterized by three resonant frequencies and a tuned enhanced band using the WCIP method
Multiphase segmentation based on new signed pressure force functions and one level set function
A new sequential power flow algorithm for AC/DC systems including independent multiterminal DC subsystems
A bidirectional wireless power transfer system for an electric vehicle with a relay circuit
Minimizing reverse current flow due to distributed generation via optimal network reconfiguration
TSGV: a table-like structure-based greedy method for materialized view selection in data warehouses
Constrained control allocation for nonlinear systems with actuator failures or faults
Two-group decodable distributed differential space-time code for wireless relay networks based on SAST codes
Dynamic security enhancement of power systems using mean-variance mapping optimization
Analytical modeling of a coaxial cylindrical probe capacitive sensor based on MATLAB/Simulink for conductive liquids level measurements
Energy optimized scheduling for non-preemptive real-time systems
Multiclass semantic segmentation of faces using CRFs
A new segmentation method of cerebral MRI images based on the fuzzy c-means algorithm
Unsupervised learning of allomorphs in Turkish
Distinct degradation processes in ZnO varistors: reliability analysis and modeling with accelerated AC tests
An intelligent PSO-based energy efficient load balancing multipath technique in wireless sensor networks
k-NN-based classification of sleep apnea types using ECG
Optimal fusion of multiple GNSS signals against spoofing sources
Visualization of distribution system planning for engineers and decision-makers
Flexible (2 $\times $ 1) MIMO antenna with electromagnetic band gap unit cell for WiMAX applications
Robust local parameter estimator based on least absolute value estimator
FPGA-based SOC for hardware implementation of a local histogram-based video shot detector
Disk scheduling with shortest cumulative access time first algorithms
Bandwidth allocation for multiple IPTV users sharing the same link: a case study of Telecom of Kosovo
An alternative approach to the design of multiple beam constrained lens antennas
Assessment of disordered voices based on an optimized glottal source model
Horizontal directional drilling pilot bore simulation
Energy and area spectral efficiency trade-off for MC-CDMA with carrier frequency offset
Access pattern-aware data placement for hybrid DRAM/NVM
Modeling and simulation of 2.5 MVA SF6-gas-insulated transformer
E-MFDBSCAN: an evolutionary clustering algorithm for gene expression time series
Relaxation rate and polarization charge density model for AlN/Al$_{x}$Ga$_{1 - x}$N/AlN heterostructures
High-voltage isolated multioutput power supply for multilevel converters
MRI image enhancement using Biot-Savart law at 3 tesla
Classification of EEG signals of familiar and unfamiliar face stimuli exploiting most discriminative channels
A particle swarm optimization and block-SVD-based watermarking for digital images
Fuzzy support vector machine based on hyperbolas optimized by the quantum-inspired gravitational search algorithm
Instantaneous protection scheme for backup protection of high-voltage transmission lines
Matching points of interest with user context: an ANN approach
Cilt: 25 - Sayı : 6
A novel microstrip diplexer design with tunable bandwidths and switchable channels for 4.5G applications
A novel and realistic hybrid downlink-uplink coupled/decoupled access scheme for 5G HetNets
Development and experimental evaluation of a 3D ultra-wideband localization system
Method of singular integral equations in diffraction by semi-infinite grating: $H$-polarization case
A novel hybrid approach based on a chaotic cloud gravitational search algorithm to complicated image template matching
Data clustering using seed disperser ant algorithm
Two-bit transform using local binary pattern method for low-complexity block motion estimation
Breast-region segmentation in MRI using chest region atlas and SVM
A modified two-degree of freedom-internal model control configuration for load frequency control of a single area power system
Lifetime maximization of wireless sensor networks with sink costs
Reactive power optimization in a power system network through metaheuristic algorithms
A new video forgery detection approach based on forgery line
Markovian model for reliability assessment of microgrids considering load transfer restriction
Neoteric chaff generation method of fingerprint fuzzy vault
A novel approach to solve transient stability constrained optimal power flow problems
Koch fractal-based hexagonal patch antenna for circular polarization
A cooperative neural network approach for enhancing data traffic prediction
Proposing a new clustering method to detect phishing websites
Power search algorithm (PSA) for combined economic-emission dispatch problems considering valve point effects in economic load dispatch
Analysis and design of an invisibility cloak based on transformation optics at microwave frequencies
Design optimization of distribution transformers with nature-inspired metaheuristics: a comparative analysis
Low complexity link level performance prediction for SIMO systems
Calculation of current limiting reactance of hybrid SFCL for low voltage ride-through capability enhancement in DFIG wind farms
Discovering the relationships between yarn and fabric properties using association rule mining
A predictive modeling approach for improving paddy crop productivity using data mining techniques
A random number generator for lightweight authentication protocols: xorshiftR+
Development of metal oxide arrester block using a rare earth element for very fast transient overvoltage applications
Stock daily return prediction using expanded features and feature selection
A novel empirical SIR-to-CQI mapping rule for DC-HSDPA systems
An adaptive clustering segmentation algorithm based on FCM
A probabilistic scenario-based framework for solving stochastic dynamic economic emission dispatch with unit commitment
Effect of stator permanent magnet thickness and rotor geometry modifications on the minimization of cogging torque of a flux reversal machine
Probabilistic day-ahead system marginal price forecasting with ANN for the Turkish electricity market
On the design of equal division single-band filtering dividers with an extended transmission line and resistor as isolation elements
An improved OMTHD technique for an n-level cascaded multilevel inverter with adjustable DC sources
New Patterson-Wiedemann type functions with 15 variables in the generalized rotation-symmetric class
On the performance of newsworthy meta-heuristic algorithms based on point of view fuzzy modelling
ANN-based SHEPWM using a harmony search on a new multilevel inverter topology
Low-power voltage to a frequency-based smart temperature sensor with +0.8/-0.75 $^{\circ}$C accuracy for -55 $^{\circ}$C to 125 $^{\circ}$C
Optimal reactive power flow in BDFMs for converter cost reduction and efficiency improvement
Cilt: 25 - Sayı : 3
Comparative study of conventional modulation schemes in terms of conducted and radiated EMI generated by three-phase inverters
Neural network approach on loss minimization control of a PMSM with core resistance estimation
Design of a self-starting hybrid permanent magnet hysteresis synchronous motor connected directly to the grid
Training ANFIS by using the artificial bee colony algorithm
Loss minimization in wind farm integrated AC/DC system by optimal injections and droop settings of VSC-MTDC systems
Assignment as a location-based service in outsourced databases
Breast boundary segmentation in thermography images based on random walkers
An improved clonal selection algorithm using a tournament selection operator and its application to microstrip coupler design
Regional ionospheric trend statistics: IONOLAB-PDF
IONOLAB-RAY: A wave propagation algorithm for anisotropic and inhomogeneous ionosphere
Research on magnetic field state analysis of a nonsalient pole synchronous generator
Using latent semantic analysis for automated keyword extraction from large document corpora
Error sources and measurement uncertainties in outdoor testing of BIPV modules
ERP: An efficient reactive routing protocol for dense vehicular ad hoc networks
Statistical features-based comparison of analysis and synthesis of normal and epileptic electroencephalograms for various wavelets
Eccentricity fault diagnosis in a permanent magnet synchronous motor under nonstationary speed conditions
Automobile engine condition monitoring using sound emission
Effect of touch coordinate display as a form of augmented, concurrent visual feedback on the accuracy of single-handed typing via smartphone virtual keyboards
Design optimization of a Ćuk DC/DC converter based on reliability constraints
Optimal design of voltage regulators for static excitation system in synchronous generator to reduce shaft-induced voltage
Image compression algorithm with reduced blocking artifacts
A hybrid of sliding mode control and fuzzy logic control using a fuzzy supervisory switched system for DC motor speed control
Optimum design and operation analysis of permanent magnet-assisted synchronous reluctance motor
Novel patch antenna for multiband cellular, WiMAX, and WLAN applications
High-pass/low-pass section design for 0$^{\circ}$-360$^{\circ}$ lumped-element phase shifters via the real frequency technique
Accelerating the solving of nonlinear equations using the homotopy method: application on finding the operating point of complex circuits
Load shedding scheme based on frequency and voltage stability for an islanding operation of a distribution network connected to mini-hydro generation
Mobility and load aware radio resource management in OFDMA femtocell networks
Performance analysis and optimization of cluster-based mesh FPGA architectures: design methodology and CAD tool support
A neural network approach to navigation of a mobile robot and obstacle avoidance in dynamic and unknown environments
Significant insights into the operation of DC-link voltage control of a shunt active power filter using different control algorithms: a comparative study
Intrusion detection in network flows based on an optimized clustering criterion
Design of a low-power CMOS operational amplifier with common-mode feedback for pipeline analog-to-digital converter applications
New use of the HITS algorithm for fast web page classification
Compact magneto-dielectric resonator MIMO antenna for angle diversity
Reconstruction of a single square pulse originally having 40 ps width coming from a lossy and noisy channel in a point to point interconnect
A steganographic approach to hide secret data in digital audio based on XOR operands triplet property with high embedding rate and good quality audio
Adaptive sliding mode with time delay control based on convolutions for power flow reference tracking using a VSC-HVDC system
Sum rate enhancement and interference alignment for MIMO channels
Dielectric backed conducting strips as inductive element in spatial band-pass filter design
An area-efficient and wide-range digital DLL for per-pin deskew applications
Aqueous paramagnetic solutions for MRI phantoms at 3 T: A detailed study on relaxivities
Implementation of energy management and demand side management of a solar microgrid using a hybrid platform
Intellimote: a hybrid classifier for classifying learners' emotion in a distributed e-learning environment
Android malware classification based on ANFIS with fuzzy c-means clustering using significant application permissions
Novel control strategy for hybrid renewable energy-based standalone system
Planar array of right-angled isosceles triangular microstrip antenna using Koch and meander lines for the Internet of things
Electrocardiogram signal analysis for R-peak detection and denoising with hybrid linearization and principal component analysis
Modified control of the matrix converter-based drive for voltage sag impact reduction
Techno-economic analysis using different types of hybrid energy generation for desert safari camps in UAE
An efficient OFDM-based system with an insufficient cyclic prefix via a novel constellation algorithm
Dynamic model to predict AC critical flashover voltage of nonuniformly polluted insulators under thermal ionization conditions
Bayesian estimation of discrete-time cellular neural network coefficients
Smart frequent itemsets mining algorithm based on FP-tree and DIFFset data structures
Model-based fault analysis of a high-voltage circuit breaker operating mechanism
A neuro-fuzzy controller for grid-connected heavy-duty gas turbine power plants
An ultrafast all-optical switch based on a nonlinear photonic crystal waveguide using single crystal p-toluene sulfonate
A CNFET full adder cell design for high-speed arithmetic units
Automatic reduction of periodic noise in images using adaptive Gaussian star filter
Performance enhancement of a dynamic voltage restorer
Rapidly converging solution for p-centers in nonconvex regions
Offset-free adaptive nonlinear model predictive control with disturbance observer for DC-DC buck converters
Turkish entity discovery with word embeddings
A novel generation and capacitor integration technique for today's distribution systems
A 0.65-1.35 GHz synthesizable all-digital phase locked loop with quantization noise suppressing time-to-digital converter
Thermomechanical MEMS membranes for fiber optic temperature sensing
A ternary zero-correlation zone sequence sets construction procedure
Investigation of adaptive control of robot manipulators with uncertain features for trajectory tracking employing HIL simulation technique
Analysis and design of grid-connected 3-phase 3-level AT-NPC inverter for low-voltage applications
Edge distance graph kernel and its application to small molecule classification
A frequency-reconfigurable elliptical monopole antenna for cognitive radio networks
Analysis of feature detector and descriptor combinations with a localization experiment for various performance metrics
Safety-critical wireless sensor networks under a polyphase spreading sequences scenario
Design and implementation of a six-port junction based on substrate integrated waveguide
A marching algorithm for isosurface extraction from face-centered cubic lattices
Design optimization of a Ćuk DC/DC converter based on reliability constraints
2016
Cilt: 24 - Sayı : 6
A comparative analysis of wind speed probability distributions for wind power assessment of four sites
Energy-efficient and environmentally friendly power dispatch by trigeneration with renewable energy and energy storage
A comprehensive control strategy of railway power quality compensator for AC traction power supply systems
A 0.18-$\mu $m current-mode asynchronous sigma-delta modulator design
Certain investigations on power generation using repulsive magnets and new stepped DC coupled quasi Z-inverter
The self-adaptive alternating direction method for the multiarea economic dispatch problem
FPGA implementation of a HEVC deblocking filter for fast processing of super high resolution applications
Sinusoidal current injection based on a line-commutated inverter for single-phase grid-connected renewable energy sources
A problem approximation surrogate model (PASM) for fitness approximation in optimizing the quantization table for the JPEG baseline algorithm
An interval-based contingency selection approach considering uncertainty
Computer-assisted Cobb angle measurement from posteroanterior radiographs by a curve fitting method
A centralized self-adaptive fault tolerance approach based on feedback control for multiagent systems
Channel measurement and time dispersion analysis for outdoor mobile ultrawideband environment
Firefly algorithm with multiple workers for the power system unit commitment problem
Variation of photovoltaic system performance due to climatic and geographical conditions in Turkey
Integration of spectral and spatial information via local covariance matrices for segmentation and classification of hyperspectral images
Validation of TRNSYS modelling for a fixed slope photovoltaic panel
Direct radio frequency sampling methodology for multiple signals in an energy-sparse spectrum
IEC 61850-based islanding detection and load shedding in substation automation systems
Assessment of energy performance certificate systems: a case study for residential buildings in Turkey
Applying metaheuristic optimization methods to design novel adaptive PI-type fuzzy logic controllers for load-frequency control in a large-scale power grid
Double bow-tie FSS for X-band and K$_u$-band operations with its parametric analyses
A new method for active power factor correction using a dual-purpose inverter in a flyback converter
A second-order Volterra filter-based nonlinear clipping detector
Leveraging linked open data information extraction for data mining applications
Design of a fuzzy logic controlled thermoelectric brain hypothermia system
Power quality assessment in different wind power plant models
Modeling, control, and power management for a grid-integrated photo voltaic, fuel cell, and wind hybrid system
A new algorithm for avoiding maloperation of transformer restricted earth fault protection caused by the transformer magnetizing inrush current and current transformer saturation
Multilayer authorization model and analysis of authorization methods
Effect of transverse magnetic field on low pressure argon discharge
Balancing exploration and exploitation by using sequential execution cooperation between artificial bee colony and migrating birds optimization algorithms
Fuzzy PSO-based algorithm for controlling base station movements in a wireless sensor network
Solar irradiation estimator based on a self-calibrated reference solar cell
Design and implementation of a genetic algorithm IP core on an FPGA for path planning of mobile robots
Low leakage power gating technique for subnanometer CMOS circuits
Parallel decoding for lattice reduction-aided MIMO Receiver
Design and implementation of a digital MPPT controller for a photovoltaic panel
Studies on the application of wavelet families for a high impedance fault location algorithm in a distribution network
Energy and exergy analysis of an organic Rankine cycle in a biomass-based forest products manufacturing plant
Real-time motorized electrical hospital bed control with eye-gaze tracking
Video temporal error concealment using improved directional boundary matching algorithm
A fast and accurate algorithm for eye opening or closing detection based on local maximum vertical derivative pattern
An optimized embedded adder for digital signal processing applications
Interference in geoelectric field observation from the current of a direct-current grounding electrode
A sampling-based method using an improved nonparametric density estimator for probabilistic harmonic load flow calculation
FPGA implementations of scale-invariant models of neural networks
Thermal aging of solid insulation under dual temperature variation
Effect of load increase and power system stabilizer on stability delay margin of a generator excitation control system
Minimum number of permanent-magnet synchronous generators for coordinated low-voltage ride-through of induction generators in hybrid wind farms
Speech recognition using ANN and predator-influenced civilized swarm optimization algorithm
Dynamic characteristics of an isolated self-excited synchronous reluctance generator driven by a wind turbine
Cilt: 24 - Sayı : 1
Behavior learning of a memristor-based chaotic circuit by extreme learning machines
Fast and de-noise support vector machine training method based on fuzzy clustering method for large real world datasets
Lifetime maximization of wireless sensor networks using particle swarm optimization
Analysis of active power control algorithms of variable speed wind generators for power system frequency stabilization
The classification of EEG signals using discretization-based entropy and the adaptive neuro-fuzzy inference system
Heuristic methods for postoutage voltage magnitude calculations
Optimal power flow with SVC devices by using the artificial bee colony algorithm
Noise adjusted version of generalized principal component analysis
Investigation of the most appropriate mother wavelet for characterizing imaginary EEG signals used in BCI systems
Stochastic congestion management considering power system uncertainties: a chance-constrained programming approach
Towards a semantic-based information extraction system for matching résumés to job openings
Amplitude-phase control of a novel chaotic attractor
An 11-switch multilevel inverter with a modified space vector modulation
A new method to reduce the adverse effects of wind power on power quality using reactive power compensating capacitors
Estimating and reshaping human intention via human--robot interaction
The parallel resonance impedance detection method for parameter estimation of power line and transformer by using CSA, GA, and PSO
Modeling based on 3D finite element analysis and experimental study of a 24-slot 8-pole axial-flux permanent-magnet synchronous motor for no cogging torque and sinusoidal back-EMF
A GIS-based novel active monitoring system for fiber networks
A new algorithm of parameter estimation of a photovoltaic solar panel
A robust estimator-based optimal algebraic approach to steam generator feedwater control system
Heuristic sample reduction method for support vector data description
PAPR reduction using genetic algorithm in lifting-based wavelet packet modulation systems
RLC circuit extraction with the differential evolution algorithm for conducted electromagnetic emission model of integrated circuits
Highly efficient three-phase three-level multilevel inverter employing different commutation strategies
A comprehensive comparison of features and embedding methods for face recognition
Cilt: 24 - Sayı : 5
Predicting acute hypotensive episode by using hybrid features and a neuro-fuzzy network
Tourism demand modelling and forecasting using data mining techniques in multivariate time series: a case study in Turkey
Novel dynamic partial reconfiguration implementations of the support vector machine classifier on FPGA
Parametric-based mobility for providing opportunistic geocasting in spatially separated wireless sensor networks
A designed converter for minimizing SRM torque ripple with controlled boost capacitor automatically
Design of low power system on programmable chip for video zoom-in processing
A model for flipping electrical engineering with e-learning using a multidimensional approach
Push-pull cache consistency mechanism for cooperative caching in mobile ad hoc environments
Design and implementation of a compact avionics instrument for light aviation
An improved digital image watermarking scheme using the discrete Fourier transform and singular value decomposition
Energy-efficient mobile cluster-head data collection model for wireless sensor networks
Reduction of torque ripple in induction motor by artificial neural multinetworks
Behavior of metamaterial-based microwave components for sensing and heating of nanoliter-scale volumes
Experimental characterization of wafer probe burn
Modeling and performance optimization of photovoltaic and thermal collector hybrid system
A dynamic spectrum management algorithm in VDSL systems
Stability criterion for uncertain 2-$D$ discrete systems with interval-like time-varying delay employing quantization/overflow nonlinearities
Detection of online PD signals in XLPE cables using the Bhattacharyya distance
Process time and MPPT performance analysis of CF, LUT, and ANN control methods for a PMSG-based wind energy generation system
Pure fuzzy Hall effect sensors for permanent magnet synchronous motor
A current feedback control strategy for parallel-connected single-phase inverters using a third-order general-integrator crossover cancellation method
Intensity exposure-based bi-histogram equalization for image enhancement
Common-mode EMI evaluation of forward converter with various core-reset schemes
Wireless sensor network-based extension to KNX home automation system
Internet-based multiuser in-circuit emulator design for 8051 microprocessors
A novel compact defected ground structure and its application in mutual coupling reduction of a microstrip antenna
Statistical learning approaches in diagnosing patients with nontraumatic acute abdomen
A conceptual implementation of a buck converter for an off-grid hybrid system consisting of solar and wind turbine sources
A current-based simple analog MPPT circuit for PV systems
Modeling and simulation of sensorless control of four-leg inverter PMSM drives in the case of a single-phase open circuit fault
The use of cross-company fault data for the software fault prediction problem
Electric arc furnace power quality improvement by applying a new digital and predicted-based TSC control
A hybrid search method of wrapper feature selection by chaos particle swarm optimization and local search
A new market-based approach for daily Volt/Var control of distribution systems in the presence of distributed energy resources using Benders decomposition algorithm
Steady state analysis of a three phase indirect matrix converter fed 10 HP, 220 V, 50 Hz induction machine for efficient energy generation
An integrated analysis for sustainable supply of remote winter tourist centers - a future concept case study
Energy efficient multiconstrained optimization using hybrid ACO and GA in MANET routing
Common spatial pattern-based feature extraction from the best time segment of BCI data
A ring crossover genetic algorithm for the unit commitment problem
Fuzzy speed estimation in the case of sensorless induction machine vector control
Computer vision-based control of an autonomous blimp
Control of a ternary voltage progression based cascaded multilevel inverter using classy split multicarrier pulse width modulation
Determination of the striking distance of a lightning rod using finite element analysis
Energy-aware stochastic scheduling model with precedence constraints on DVFS-enabled processors
Vector control of a three-phase parallel connected two motor single inverter speed sensorless drive
Gravitational search algorithm-based dynamic economic dispatch by estimating transmission system losses using A-loss coefficients
Performance comparison of adaptive digital predistortion algorithms using adaptation time for mobile WiMAX power amplifier applications
Assessment of the maximum loadability point of a power system after third zone of distance relay corrective actions
The phononic crystal interface layer determines slow-wave and pulse broadening effects
Comparative analysis of voltage and current source inverter based DSTATCOM systems
Optimal siting and sizing of rapid charging station for electric vehicles considering Bangi city road network in Malaysia
An HF/UHF dual mode RFID transponder antenna and HF range extension using UHF wireless power transmission
Optimization of PID parameters using BBBC for a multiarea AGC scheme in a deregulated power system
High photoresponse from solution processed conventional and inverted ultraviolet photodetectors
Adaptive speed observer with disturbance torque compensation for sensorless induction motor drives using RT-Lab
Time series adapted supervised fuzzy discretization: an application to ECG signals
An IPR protection scheme based on wavelet transformation and visual cryptography
Stability assessment of isolated six-phase induction generator feeding static loads
Development of derivation of inverse Jacobian matrices for 195 6-DOF GSP mechanisms
Optimization of an experimental unsymmetrical-unbalanced two-phase induction motor using the HGAPSO hybrid technique and the finite element method for increasing efficiency and reducing torque ripple
A switching inverse dynamics controller for parallel manipulators around drive singular configurations
Fast image search on a VQ compressed image database
Fuzzy based design of digital IIR filter using ETLBO
The simulation of sound signal masking with different chaotic oscillations and its circuit application
Using magnetic field analysis to evaluate the suitability of a magnetic suspension system for lightweight vehicles
A generalized algorithm for space vector modulation in multilevel inverters
Experimental performance comparison of a 2-axis sun tracking system with fixed system under the climatic conditions of Düzce, Turkey
A novel voltage control strategy in collaboration with information technology domains through the holonic architecture
IEC 61850-based parallel bus transfer scheme for industrial substations
Speech steganalysis based on the delay vector variance method
Hardware implementation of a scale and rotation invariant object detection algorithm on FPGA for real-time applications
Evaluation of hearing loss simulation using a speech intelligibility index
Some observations on no-load losses of an asymmetrical six-phase synchronous machine
Keyframe-based video mosaicing for historical Ottoman documents
Selective harmonics elimination PWM with self-balancing DC-link in photovoltaic 7-level inverter
Optimization design of a doubly salient 8/6 SRM based on three computational intelligence methods
A multiobjective tuning approach of power system stabilizers using particle swarm optimization
Exploring feature sets for Turkish word sense disambiguation
Digital implementation of a constant frequency hysteresis controller for dual mode operation of an inverter acting as a PV-grid interface and STATCOM
Optimal placing of PMUs in a constrained grid: an approach
A Monte Carlo-based Poisson's equation solver parallelized with Coarray Fortran
A new compression algorithm for fast text search
Improving response time of database systems by semantification of relational data
A new CMOS logarithmic current generator
Open source software adoption evaluation through feature level sentiment analysis using Twitter data
A new step-size searching algorithm based on fuzzy logic and neural networks for LMS adaptive beamforming systems
The impact of disabling suspicious node communications on network lifetime in wireless ad hoc sensor networks
Novel version of bi input-extended Kalman filter for speed-sensorless control of induction motors with estimations of rotor and stator resistances, load torque, and inertia
An attribute or tuple timestamping in bitemporal relational databases
A new dictionary-based preprocessor that uses radix-190 numbering
Cilt: 24 - Sayı : 3
Walsh series modeling and estimation in sensorless position control of electrical drives
Discriminant-based bistability analysis of a TMG-induced lac operon model supported with boundedness and local stability results
An improved security framework for Web service-based resources
Design and implementation of a man-overboard emergency discovery system based on wireless sensor networks
Study of temperature distribution in light--tissue interaction using the FEM
Fractional control and generalized synchronization for a nonlinear electromechanical chaotic system and its circuit simulation with Multisim
Stability analysis of an asymmetrical six-phase synchronous motor
Feature selection for movie recommendation
Design of a high-linear, high-precision analog multiplier, free from body effect
Development of radiometer operating between 50 MHz and 26.5 GHz using gain-stabilized LNA
Wavefront-ray grid FDTD algorithm
Modeling and control of a doubly fed induction generator with a disturbance observer: a stator voltage oriented approach
Knowledge-based genetic algorithm approach to quantization table generation for the JPEG baseline algorithm
A parametric study on privatization revenues of the electricity distribution companies in Turkey
Prediction-based reversible image watermarking using artificial neural networks
Upper limb rehabilitation robot for physical therapy: design, control, and testing
A MapReduce-based distributed SVM algorithm for binary classification
A new CMOS ZC-CDTA realization and its filter applications
Site-specific design optimization of horizontal-axis wind turbine systems using PSO algorithm
A generalized design method for multifunction converters used in a photovoltaic system
Quantitative information extraction from gas sensor data using principal component regression
Optimization with genetic algorithm of temperature-dependent fiber length of L-band EDFA gain
Speciation-based genetic algorithm in analog circuit design
Comprehensive review of association estimators for the inference of gene networks
An advanced optimization technique for considering reliability issues and unit commitment problems simultaneously
A classification of semantic conflicts in heterogeneous Web services at message level
Square root central difference-based FastSLAM approach improved by differential evolution
An experimental study of coarse-grained reconfigurable system-on-chip-based software-defined radio
An application of simulated annealing to optimal transcranial direct current stimulation of the human brain
An unsupervised heterogeneous log-based framework for anomaly detection
Comparative performance evaluation of blast furnace flame temperature prediction using artificial intelligence and statistical methods
A novel approach of design and analysis of fractal antenna using a neurocomputational method for reconfigurable RF MEMS antenna
Artificial bee colony algorithm based optimal reactive power flow of two-terminal HVDC systems
A new deployment method for electric vehicle charging infrastructure
On scalable RDFS reasoning using a hybrid approach
Fast and accurate semiautomatic haptic segmentation of brain tumor in 3D MRI images
Reconstructive sensing circuit for complementary resistive switches-based crossbar memories
How to categorize emotional speech signals with respect to the speaker's degree of emotional intensity
Specification and formal verification of safety properties in a point automation system
Effect of repetition coding on the BER performance of interleave-division multiple access systems
A novel key distribution scheme against storage-bounded adversaries using attack probabilities
Power regulated DC/DC driver design by hierarchical control
Improved control of a photovoltaic pumping system by DTC-SVM to optimize the water flow
Power flow controlling using SSSC based on matrix converter via SA-PSO algorithm
A new single stage single phase power factor corrected and isolated AC-DC converter based on resonance and soft switching
Performance analysis of biogeography-based optimization for automatic voltage regulator system
A simple hybrid method for segmenting vessel structures in retinal fundus images
The process of creeping discharge-caused damage on oil/pressboard insulation
Source detection and propagation of equal frequency voltage flicker in nonradial power system
An analytical formulation with ill-conditioned numerical scheme and its remedy: scattering by two circular impedance cylinders
Modeling, simulation, and optimal design of power system stabilizers using ABC algorithm
Ray tracing technique for shaping a dual reflector antenna system
Comparison of AR parametric methods with subspace-based methods for EMG signal classification using stand-alone and merged neural network models
Study of the icing growth characteristic and its influencing factors for different types of insulators
Wideband analysis of planar scalable antennas and PEC bodies using CBFM
Comparative study of drive systems using vector-controlled PMSM fed by a matrix converter and a conventional frequency converter
An efficient hybrid eye detection method
Gender classification: a convolutional neural network approach
A facial component-based system for emotion classification
Economic assessment of a hybrid turboexpander-fuel cell gas energy extraction plant
Penalty factor-based optimization algorithm for distributed generation sizing in distribution network
An innovative peak detection algorithm for photoplethysmography signals: an adaptive segmentation method
A new fuzzy membership assignment and model selection approach based on dynamic class centers for fuzzy SVM family using the firefly algorithm
Online monitoring and accident diagnosis aid system for the Nur Nuclear Research Reactor
Optimal power flow by considering system security cost and small signal stability constraints
Bone age determination in young children (newborn to 6 years old) using support vector machines
An intelligent design optimization of a permanent magnet synchronous motor by artificial bee colony algorithm
An enhanced multiinterface multichannel algorithm for high quality live video streaming over hybrid WMNs
System identification by using migrating birds optimization algorithm: a comparative performance analysis
Improved direct power control for 3-level AC/DC converter under unbalanced and/or distorted voltage source conditions
Reinforcement learning-based mobile robot navigation
A discrete numerical method for magnetic field determination in three-phase busbars of a rectangular cross-section
Scale invariant and fixed-length feature extraction by integrating discrete cosine transform and autoregressive signal modeling for palmprint identification
Scalable sentiment analytics
Finger-vein biometric identification using convolutional neural network
A new security relation between information rate and state size of a keystream generator
Multiple perspectives on the hardware implementations of biological neuron models and programmable design aspects
Classification of short-circuit faults in high-voltage energy transmission line using energy of instantaneous active power components-based common vector approach
A slotted ALOHA-based cognitive radio network under capture effect in Rayleigh fading channels
Behavior characteristics of a cap-resistor, memcapacitor, and a memristor from the response obtained of RC and RL electrical circuits described by fractional differential equations
Performance evaluation of a new efficient H.264 intraprediction scheme
Application of kappa statistics in sequential tests for family-based design
Variations and relations of meteorological parameters between upwind and downwind small-scale wind turbine rotor area
Identifying acquisition devices from recorded speech signals using wavelet-based features
Some properties of digital H-spaces
Computation of the scattered fields from an arbitrary discontinuity on a perfectly conducting ground plane by a decomposition method
High dynamic performance of a BLDC motor with a front end converter using an FPGA based controller for electric vehicle application
Grid-connected induction generator interturn fault analysis using a PCA-ANN-based algorithm
Sizing design and implementation of a flywheel energy storage system for space applications
Energy savings potential in air conditioners and chiller systems
Cilt: 24 - Sayı : 4
Fast measurement of headlamps by means of a developed fuzzy luxmeter based on a fuzzy mapping algorithm
Sensorless field oriented control of nonsinusoidal flux-distribution permanent magnet synchronous motor with a FEM based ANN observer
Automatic detection of coronary artery disease using registration of ultrasound images of the heart (echocardiography)
A robust Bayesian inference-based channel estimation in power line communication systems contaminated by impulsive noise
A new algorithm for detection of link spam contributed by zero-out link pages
A novel approach for automatic blood vessel extraction in retinal images: complex ripplet-I transform and complex valued artificial neural network
Gain and coherence collapse condition for a laser diode with optoelectronic feedback using Volterra series
Cascaded half-full-bridge PWM multilevel inverter configuration
Three-phase multilevel inverter with high value of resolution per switch employing a space vector modulation control scheme
A new method for accurate estimation of PV module parameters and extraction of maximum power point under varying environmental conditions
ReducedCBT and SuperCBT: two new and improved complete binary tree structures
PLEA: Parametric loop bound estimation in WCET analysis
Design of a frequency control system in a microgrid containing HVAC
Optimal digital control of a three-phase four-leg voltage source inverter
Vehicle localization systems: towards low-cost architectures
Dynamic analysis of a modular isolated bidirectional dc-dc converter for high power applications
Improving fairness in peer-to-peer networks by separating the role of seeders in network infrastructures
Modeling and control of a 6-control-area interconnected power system to protect the network frequency applying different controllers
Enhancement of a reduced order doubly fed induction generator model for wind farm transient stability analyses
Power management using dynamic power state transitions and dynamic voltage frequency scaling controls in virtualized server clusters
Design and implementation of a new MANET simulator model for AODV simulation
Automated separation of gray and white matter in brain MRIs by fastened segments of geodesic contours
Name spell-check framework for social networks
A new wideband electronically tunable grounded resistor employing only three MOS transistors
Ship-radiated noise feature extraction using multiple kernel graph embedding and auditory model
Fetal electrocardiogram estimation using polynomial eigenvalue decomposition
Theoretical analysis of doping management and its effects on power scaling
An efficient LOF-based long-range correlation filter for the restoration of salt and pepper impulse corrupted digital images
Gravitational search algorithm for determining controller parameters in an automatic voltage regulator system
Investigation of an object follower system
FGMOS-based differential difference CCCII and its applications
Shunt active power filter control for compensating current power quality problems in three-phase three-wire systems based on an adaptive notch filter
Abnormal event detection in crowded scenes via bag-of-atomic-events-based topic model
Fuzzy logic based voltage control scheme for improvement in dynamic response of the class D inverter based high frequency induction heating system
Design and manufacture of TDS measurement and control system for water purification in reverse osmosis by PID fuzzy logic controller with the ability to compensate effects of temperature on measurement
Residential electricity pricing using time-varying and non-time-varying scenarios: an application of game theory
Energy optimization in wireless sensor networks using a hybrid K-means PSO clustering algorithm
An adaptive fuzzy PI controlled bus quantity enhancer for wave energy systems
Effects of Mica2-based discrete energy levels on the lifetime of cooperation neighbor sensor networks
Impact of STATCOM and SSSC on synchronous generator LOE protection
Design of fir filters using exponential--Hamming window family
Brain tumor detection using monomodal intensity based medical image registration and MATLAB
Implementation analyses of proteins and genes obtained from cancer patients
Relay sliding mode control based on the input-output model
Design of a low-power, low-cost UHF RFID reader module
Application of a time delay neural network for predicting positive and negative links in social networks
Novel, graded, priority-oriented admission control in mobile networks
Content-based texture image retrieval by histogram of curvelets
Design and analysis of a magnetically levitated axial flux BLDC motor for a ventricular assist device (VAD)
A new approach for edge detection in noisy images based on the LPGPCA technique
Peak-to-average power ratio reduction using backtracking search optimization algorithm in OFDM systems
Removal of impulse noise in digital images with na\"ıve Bayes classifier method
An implantable microstrip antenna design for MICS-band biomedical applications
A new ABC-based multiobjective optimization algorithm with an improvement approach (IBMO: improved bee colony algorithm for multiobjective optimization)
An efficient robust watermarking scheme for varying sized blocks
Short-term economic emission power scheduling of hydrothermal systems using improved chaotic hybrid differential evolution
Heart sound signal classification using fast independent component analysis
An investigation of intelligent controllers based on fuzzy logic and artificial neural network for power system frequency maintenance
Implementation of a modified SVPWM-based three-phase inverter with reduced switches using a single DC source for a grid-connected PV system
Wide-range reliable stabilization of time-delayed power systems
Wind farm based on DFIG entirely interfaced with 14-node distribution network: power control and voltage regulation
Optimal dispatchable DG allocation in a distribution network considering load growth with a mixed-PSO algorithm
Authentication of uncertain data based on k-means clustering
Stereo and KinectFusion for continuous 3D reconstruction and visual odometry
Quantitative risk associated with intermittent wind generation
A novel method of relieving congestion in hybrid deregulated market utilizing renewable energy sources
Winding temperature prediction in split-winding traction transformer
Iris and eye corner detection by processing internal webcam images
Power system harmonic reduction and voltage control using DFIG converters as an active filter
The optimization of a projectile from a three-coil reluctance launcher
Advanced~neural network receiver design~to combat multiple channel~impairments
Design of compact octagonal slotted hexagonal and rectangular shaped monopole antennas for dual/UWB applications
A location aware history-based approach for network selection in heterogeneous wireless networks
A wavelet-based feature set for recognizing pulse repetition interval modulation patterns
Single and multiple precision sequential large multipliers for field-programmable gate arrays
Protection of a multiterminal DC compact node feeding electric vehicles on electric railway systems, secondary distribution networks, and PV systems
Analyzing the mutual authenticated session key in IP multimedia server-client systems for 4G networks
Threshold optimization according to the restricted Bayes criterion in decentralized detection problems
Design of low power CMOS LC VCO for direct conversion transceiver
Modeling and rotor field-oriented control of a faulty three-phase induction motor based on GSA for tuning of PI controllers
Enhanced control of a DFIG-based system by sliding-mode control method during network disturbances
Thermal model of oil power transformers with a tap changer
Evolutionary optimized discrete Tchebichef moments for image compression applications
BBO algorithm-based tuning of PID controller for speed control of synchronous machine
Bayesian compressive sensing framework for spectrum reconstruction in Rayleigh fading channels
Design and implementation of small power switched reluctance generator-based wind energy conversion system
A robust undervoltage load shedding scheme against voltage instability
A new electronically tunable first-order all-pass filter using only three NMOS transistors and a capacitor
A new combined method for RMS calculation based on wavelet packet and Hilbert transform
A real-time virtual sculpting application by using an optimized hash-based octree
Cilt: 24 - Sayı : 2
Behavior-based detection of application layer distributed denial of service attacks during flash events
Kernel Fisher discriminant analysis of Gabor features for online palmprint verification
A switchable approach to large object allocation in real-time Java
An alternative carry-save arithmetic for new generation field programmable gate arrays
Relay coordination analysis and protection solutions for smart grid distribution systems
Extracting the region of interest from MFL signals
Incremental weighted bipartite algorithm for large-scale recommendation systems
Improving the SRF method to compensate low-order harmonics under nonsinusoidal network voltages
An improved real-time adaptive Kalman filter with recursive noise covariance updating rules
Small signal analysis for DC bus voltage disturbance resistance of voltage source converter
A 2.4-GHz highly linear derivative superposition Gilbert cell mixer
Modified hexagonal Sierpinski gasket-based antenna design with multiband and miniaturized characteristics for UWB wireless communication
Linear model of a three-phase shunt active power filter with a hysteresis controller
Empirical mode decomposition application for short-term flicker severity
A sparsity-preserving spectral preconditioner for power flow analysis
Solving multimodal optimization problems based on efficient partitioning of genotypic search space
A generalized particle swarm optimization using reverse direction information
Passivity-based robust controller design for a variable speed wind energy conversion system
Chattering-eliminated adaptive sliding-mode control: an experimental comparison study
Commutation angles adjustment in SRM drives to reduce torque ripple below the motor base speed
Research on switched reluctance machine drive topology and control strategies for electric vehicles
Optimization of automatic steering control on a vehiclewith a steer-by-wire system using particle swarm optimization
A distributed map animation framework for spatiotemporal datasets
Design and analysis of a novel UWB bandpass filter using 3-D EM simulation-based neural network model with HSA
Sensorless velocity and direction angle control of an unmanned vehicle
2015
Cilt: 23 - Sayı : 5
Exact solution of conducting half plane problems in terms of a rapidly convergent series and an application of the multiplicative calculus
Intelligent text classification system based on self-administered ontology
Fast bitwise pattern-matching algorithm for DNA sequences on modern hardware
A new approach to the development of a nonlinear model for micro-Pelton turbines
An implementable approach in order to model a nonideal incremental encoder in speed measurement studies
Solution of TiO$_{2}$ memristor-capacitor series circuit excited by a constant voltage source and its application to calculate operation frequency of a programmable TiO$_{2}$ memristor-capacitor relaxation oscillator
Semiconductor laser beam bending
Automatic classification of harmonic data using $k$-means and least square support vector machine
Detection of stator winding fault in induction motor using instantaneous power signature analysis
Regulation of methane gas output from an anaerobic reactor system using moving horizon $H_{\infty }$ control
Capacitive sensor supporting multiple touch switches using a resistor string
Optimal backup parent pools for resilient multicast trees on peer-to-peer networks
A novel asymmetrical connection balance transformer for traction power supply
Optimization of electrical power transmission lines'' routing using AHP, fuzzy AHP, and GIS
Effective cooperative DTV detection using the Goertzel algorithm
Dielectric loaded TEM horn-fed ridged horn antenna designfor ultrawideband ground-penetrating impulse radar
A new bitwise voting strategy for safety-critical systems with binary decisions
Study of MAC routing in the BPLC P1901 access network: fixed vs. adaptive approach
OFDMA-based multicast with multiple base stations
A new high-performance current-mode fuzzy membership function circuit and its application
Determination of electromagnetic properties of steel for prediction of stray losses in power transformers
PRESCIENT: A predictive channel access schemefor IEEE 802.15.4-compliant devices considering IEEE 802.11 coexistence
3D imaging for ground-penetrating radars via dictionarydimension reduction
Optimal IPFC damping controller design based on simplex method and self-tuned fuzzy damping scheme in a two-area multimachine power system
Cilt: 23 - Sayı : 1
MRAS-based sensorless speed backstepping control for induction machine, using a flux sliding mode observer
Optimization of grid connected micro-grid consisting of PV/FC/UC with considered frequency control
Design and implementation of IR and laser-based electronic ciphering systems
Simulation of locating buried objects via fringe pattern-based measurements in an optical fiber sensor-integrated continuous-wave ground-penetrating radar system
Enhancing sliding mode control with proportional feedback and feedforward: an experimental investigation on speed sensorless control of PM DC motor drives
A hierarchic approach based on swarm intelligence to solve the traveling salesman problem
Designing and implementing a reliable thermal monitoring system based on the 1-wire protocol on FPGA for a LEO satellite
Sensitivity analysis and optimum design for the stator of synchronous reluctance machines using the coupled finite element and Taguchi methods
Design of a novel USB crypto bridge device
A novel efficient model for the power flow analysis of power systems
Temporal logic extension for self-referring, nonexistence, multiple recurrence, and anterior past events
Short-term load forecasting using mixed lazy learning method
A robust algorithm based on a failure-sensitive matrix for fault diagnosis of power systems: an application on power transformers
A new systematic and flexible method for developing hierarchical decision-making models
Control of oxygen excess ratio in a PEM fuel cell system using high-order sliding-mode controller and observer
Adaptive network-based inference system models on multiband patch antenna design
Discrete-time modeling of Hamiltonian systems
A new cascaded multilevel inverter with series and parallel connection ability of DC voltage sources
Mersenne twister-based RFID authentication protocol
Investigation of respiratory and heart rate variability in hypertensive patients
Cilt: 23 - Sayı : 4
A test and simulation device for Doppler-based fetal heart rate monitoring
A model-based edge estimation method with increased edge localization accuracy for medical images
Active and reactive power transmission loss allocation to bilateral contracts through game theory techniques
A comparative study of denoising sEMG signals
A fourth-order accurate compact 2-D FDFD method for waveguide problems
Design and analysis of EI core structured transverse flux linear reluctance actuator
Calculation of surface leakage currents on high voltage insulators by ant colony algorithm-supported FEM
Effect of nonuniform varying delay on the rate of convergence in averaging-based consensus
Matching named entities with the aid of Wikipedia
Superior decoupled control of active and reactive power for three-phase voltage source converters
RFID card security for public transportation applications based on a novel neural network analysis of cardholder behavior characteristics
A real-time life-care monitoring framework: WarnRed hardware and software design
A performance comparison of conventional and transverse flux linear switched reluctance motors
Load management in a distributed multimedia streaming environment using a fault-tolerant hierarchical system
Energy management of solar car in circuit race
Impact of repeaters on the performance of indoor visible light communications
An aggregate indicator for mobile application quality assessment
Multilevel hybrid cascade-stack inverter with substantial reduction in switches number and power losses
Decode-and-forward relaying based on orthogonal complementary codes
Robust speed controller design for induction motors based on IFOC and Kharitonov theorem
New metrics for clustering of identical products over imperfect data
Cilt: 23 - Sayı : 3
A comparative performance evaluation of various approaches for liver segmentation from SPIR images
Model-based test case prioritization using cluster analysis: a soft-computing approach
A new hysteresis band current control technique for a shunt active filter
A novel adaptive filter design using Lyapunov stability theory
Performance of support vector regression machines on determining the magnetic characteristics of the E-core transverse flux machine
Conceptual design of a low-cost real-time hardware-in-the-loop simulator for satellite attitude control system
An E-Nose-based indoor air quality monitoring system: prediction of combustible and toxic gas concentrations
Approximations of higher-order fractional differentiators and integrators using indirect discretization
Moving as a whole: multirobot traveling problem constrained by connectivity
Forecasting the day-ahead price in electricity balancing and settlement market of Turkey by using artificial neural networks
Using the finite element method to calculate parameters for a detailed model of transformer winding for partial discharge research
Novel congestion control algorithms for a class of delayed networks
DWMT transceiver equalization using overlap FDE for downlink ADSL
EMG classification in obstructive sleep apnea syndrome and periodic limb movement syndrome patients by using wavelet packet transform and extreme learning machine
Mechanical fault detection in permanent magnet synchronous motors using equal width discretization-based probability distribution and a neural network model
Predictive control of a constrained pressure and level system
Detection of microcalcification in digitized mammograms with multistable cellular neural networks using a new image enhancement method: automated lesion intensity enhancer (ALIE)
A mobile and web application-based recommendation system using color quantization and collaborative filtering
An OFDM throughput analysis for cognitive radio application in contiguous or noncontiguous TV white spaces
Estimating facial angles using Radon transform
Synthesis of real-time cloud applications for Internet of Things
Cilt: 23 - Sayı : 6
Impact of large-scale installation of LED lamps in a distribution system
Preface - Special Issue on: 'Advances in renewable energy and energy efficiency technologies'
Optimal integration of hybrid (wind--solar) system with diesel power plant \newline using HOMER
Energy economy in regulated and market-based power system: case study in Serbia
Design, application, and power performance analyses of a micro wind turbine
Active filter solutions in energy systems
Variable charge/discharge time-interval control strategy of BESS for wind power dispatch
Performance improvement of three-phase self-excited induction generator feeding induction motor load
Electromagnetic performance analysis of multilayer interior PMSM with fractional slot concentrated windings for electric vehicle applications
Fuzzy sliding mode control applied to a doubly fed induction generator for wind turbines
A statistical analysis of wind speed data using Burr, generalized gamma, and Weibull distributions in Antakya, Turkey
A novel control method for a VSC-HVDC system in a grid-connected wind farm
An improved incremental conductance based MPPT approach for PV modules
Evaluation of field data and simulation results of a photovoltaic system in countries with high solar radiation
Performance evaluation of three phase SRF-PLL and MAF-SRF-PLL
Model-reference sliding mode control of a three-phase four-leg voltage source inverter for stand-alone distributed generation systems
Low-cost sliding mode control of WECS based on DFIG with stability analysis
Ray tracing for optimization of compound parabolic concentrators for solar collectors of enclosed design
Amorphous core transformers efficiency analysis in Turkish electrical distribution systems
The integrated control of fuzzy logic and model-based approach for variable-speed wind turbine
Integration of wind power for optimal power system black-start restoration
Supercapacitor energy storage based-UPQC to enhance ride-through capability of wind turbine generators
Performance analysis of a 500-kWp grid-connected solar photovoltaic power plant in Kahramanmaraş
MPPT of PV array using stepped-up chaos optimization algorithm
Performance analysis of a low-cost current-source 1-ph grid-connected PV inverter
Frequency-adaptive grid-virtual-flux synchronization by multiple second-order generalized integrators under distorted grid conditions
Stability preservation and power management in autonomous microgrids using adaptive nonlinear droop scheme
Transient stability analysis of VSC HVDC transmission with power injection on the DC-link
Group control and identification of residential appliances using a nonintrusive method
New three-dimensional space vector pulse width modulation of PV-AF system based on the gh$\gamma $ coordinate system
Capacity allocation of hybrid solar-wind energy system based on discrete probabilistic method
Reliability assessment of a standalone wind-conventional/energy storage system using probabilistic production simulation method
An economic and environmental analysis of biomass-solar hybrid system for the textile industry in India
Renewable energy integration in smart grids-multicriteria assessment using the fuzzy analytical hierarchy process
An elegant emergence of optimal siting and sizing of multiple distributed generators used for transmission congestion relief
Cilt: 23 - Sayı : 2
Dynamic modeling of modular fuel cell for maximum power point tracking and torque ripple reduction in direct torque control of induction motor
An intelligence-based islanding detection method using DWT and ANN
Short-term load forecasting without meteorological data using AI-based structures
Improving the performance of primal--dual interior-point method in inverse conductivity problems
Epilepsy diagnosis using artificial neural network learned by PSO
Hybrid adaptive neuro-fuzzy B-spline--based SSSC damping control paradigm using online system identification
The detailed analysis of rate equation roots of BH-laser diode using Volterra series
Quality of service assessment: a case study on performance benchmarking of cellular network operators in Turkey
A new heuristic method to solve unit commitment by using a time-variant acceleration coefficients particle swarm optimization algorithm
Control of soil moisture with radio frequency in a photovoltaic-powered drip irrigation system
Tracking control of elastic joint parallel robots via state-dependent Riccati equation
Demand response in the day-ahead operation of an isolated microgrid in the presence of uncertainty of wind power
An accelerated and accurate three-dimensional ray tracing using red-black tree with facet mining and object bouncing techniques
Continuous-time Hopfield neural network-based optimized solution to 2-channel allocation problem
A particle swarm optimization-based approach to achieve optimal design and operation strategy of standalone hybrid energy systems
A new feature selection model based on ID3 and bees algorithm for intrusion detection system
Bandwidth extension of narrowband speech in log spectra domain using neural network
Type III compensated voltage mode line feedforward synchronously rectified boost converter for driving Class D audio H-bridge to deliver 7 W peak power into an 8 omega speaker
Efficient ID-based authentication and key agreement protocols for the session initiation protocol
Design and control of a visual servomechanism for automating corneal cross-linking treatment in keratoconus patients
A fault detection, diagnosis, and reconfiguration method via support vector machines}
2014
Cilt: 22 - Sayı : 6
Design and implementation of a microcontroller based wind energy conversion system
New design of intelligent load shedding algorithm based on critical line overloads to reduce network cascading failure risks
Face recognition across pose variation and the 3S problem
Fault tolerant broadcasting analysis in wireless monitoring networks
The design of an embedded spinal cord stimulator
Multiuser detection using soft particle swarm optimization along with radial basis function
Study on interior permanent magnet synchronous motors for hybrid electric vehicle traction drive application considering permanent magnet type and temperature
Simulation of a flowing snow avalanche using molecular dynamics
Model-free controller with an observer applied in real-time to a 3-DOF helicopter
Optimization of job shop scheduling problems using modified clonal selection algorithm
Online feature selection and classification with incomplete data
A new PSFB converter-based inverter arc welding machine with high power density and high efficiency
Development and optimization of a DSP-based real-time lane detection algorithm on a mobile platform
Metaheuristic linear modeling technique for estimating the excitation current of a synchronous motor
Implementation of neural network-based maximum power tracking control for wind turbine generators
Dynamics, stability, and actuation methods for powered compass gait walkers
Frequency-emulated uniform cellular automata
A penalty function method for designing efficient robust classifiers with input space optimal separating surfaces
Contrast enhancement using linear image combinations algorithm (CEULICA) for enhancing brain magnetic resonance images
A comparison of fuzzy logic and PID controllers to control transmitted power using a TCSC
Cilt: 22 - Sayı : 5
Stability analysis of multimachine thermal power systems using the nature-inspired modified cuckoo search algorithm
Optimal reactive power flow solution in multiterminal AC-DC systems based on artificial bee colony algorithm
New approach using structure-based modeling for the simulation of real power/frequency dynamics in deregulated power systems
Application of Hilbert--Huang transform and support vector machine for detection and classification of voltage sag sources
Class-E GaAs HBT power amplifier with passive linearization scheme for mobile wireless communications
pRediCS: A new GO-PO-based ray launching simulator for the calculation of electromagnetic scattering and RCS from electrically large and complex structures
Sliding mode controller design with fractional order differentiation: applications for unstable time delay systems
Design and realization of a welding oscillator
Comparison of AIS and fuzzy c-means clustering methods on the classification of breast cancer and diabetes datasets
Horizontal dilution of precision-based ultra-wideband positioning technique for indoor environments
Reliability-based maintenance scheduling of generating units in restructured power systems
Digital control system design and analyses of a 3-phase bearingless induction motor
Abrupt and incipient fault detection and compensation for a 4-tank system benchmark
A novel fuzzy filter for speckle noise removal
Comparative learning global particle swarm optimization for optimal distributed generations' output
A reconfigurable baseband circuit applied for WiMAX low-IF receivers
Real-time control of an automated guided vehicle using a continuous mode of sliding mode control
Induction motor parameter estimation using metaheuristic methods
Solving a new bi-objective joint replenishment inventory model with modified RAND and genetic algorithms
Performance of exhaustive search with parallel agents
A new approach of nonblind watermarking methods based on DWT and SVD via LU decomposition
Cilt: 22 - Sayı : 1
Statistical approach for determining impulse breakdown voltage distribution under DC sweep voltage
Inverse covariance principal component analysis for power system stability studies
A performance comparison of linear and nonlinear control of a SCIG-wind farm connecting to a distribution network
Adaptive feedback control for linear singular systems
Coherence analysis on thermal effect for power cables under different environmental conditions
M-FDBSCAN: A multicore density-based uncertain data clustering algorithm
HD-MR: a new algorithm for number recognition in electrical meters
Increasing key space at little extra cost in RFID authentications
Optimal fuzzy load frequency controller with simultaneous auto-tuned membership functions and fuzzy control rules
Modeling and simulation of deadbeat-based PI controller in a single-phase H-bridge inverter for stand-alone applications
A web laboratory using MATLAB Builder NE for computer image processing
Effects of a current transformer's magnetizing current on the driving voltage in self-oscillating converters
Analysis of a rule-based curriculum plan optimization system with Spearman rank correlation
A methodology for memristance calculation
A learning method to evaluate a generation company's bidding strategy in the electricity market
RFID and communication technologies for an intelligent bus monitoring and management system
A low-memory intensive decoding architecture for double-binary convolutional turbo code
A novel SMS application with GSM control on numerator systems
Spatiotemporal realization of an artificial retina model and performance evaluation through ISI- and spike count-based image reconstruction methods
A new approach in the evaluation of hospital information systems
Cilt: 22 - Sayı : 2
Markovian approach applied to reliability modeling of a wind farm
A novel approach based on reliability sensitivity analysis to allocate protective devices
Concurrently attuned design of a WADC-based UPFC PSDC and multiinput PSS for improving power system dynamic performance
Current-mode universal filter and quadrature oscillator using CDTAs
Applications of wavelets and neural networks for classification of power system dynamics events
Passive AC network supplying the integration of CCC-HVDC and VSC-HVDC systems
Luenberger observer-based sensor fault detection: online application to DC motor
Design, optimization, and realization of a wire antenna with a 25:1 bandwidth ratio for terrestrial communications
How do HCCMEs perform in small samples?
Design and implementation of a programmable high-voltage impulse measurement system
Privacy preserving in association rules using a genetic algorithm
Observer-based controller for current mode control of an interleaved boost converter
Vessel segmentation in MRI using a variational image subtraction approach
Feature selection on single-lead ECG for obstructive sleep apnea diagnosis
A new method for extraction of speech features using spectral delta characteristics and invariant integration
BtSQL: nested bitemporal relational database query language
Early wakeup: improving the drowsy cache performance
Design of a double-stator linear switched reluctance motor for shunting railway channels
Motion clustering on video sequences using a competitive learning network
A convergent algorithm for a cascade network of multiplexed dual output discrete perceptrons for linearly nonseparable classification
Cilt: 22 - Sayı : 3
Neuro-fuzzy network approach for modeling submicron MOSFETs: application to MOSFET subcircuit simulation
An efficient approach to the local optimization of finite electromagnetic band-gap structures
Performance evaluation of a fuzzy variable structure satellite attitude controller under sensor data delay
Bi input-extended Kalman filter-based speed-sensorless control of an induction machine capable of working in the field-weakening region
Design and implementation of an observer controller for a buck converter
Impact of small-world topology on the performance of a feed-forward artificial neural network based on 2 different real-life problems
Wireless sensor localization using enhanced DV-AoA algorithm
Removing random-valued impulse noise in images using a neural network detector
Perceptual quality evaluation of asymmetric stereo video coding for efficient 3D rate scaling
An urgent precaution system to detect students at risk of substance abuse through classification algorithms
Star-crossed cube: an alternative to star graph
Optimal operation management of microgrids using the point estimate method and firefly algorithm while considering uncertainty
Control of SVC based on the sliding mode control method
Multiple-global-best guided artificial bee colony algorithm for induction motor parameter estimation
Retinal image analysis using multidirectional functors based on geodesic conversions
Competitive unit maintenance scheduling in a deregulated environment based on preventing market power
Source microphone identification from speech recordings based on a Gaussian mixture model
Continuity of currents across neighboring cells in PMM analysis of thin-wire grids
Correlation analysis between surface electromyography and continuous-wave near-infrared spectroscopy parameters during isometric exercise to volitional fatigue
Robust stability of linear uncertain discrete-time systems with interval time-varying delay
Cilt: 22 - Sayı : 4
Recurrent wavelet neural network control of a PMSG system based on a PMSM wind turbine emulator
Simultaneous identification and correction of measurement and branch parameter errors
Multifunction converter based on Lyapunov function used in a photovoltaic system
Fuzzy logic approach to Henry factor for distributed feedback laser case
Shuffled frog leaping algorithm optimization for AC--DC optimal power flow dispatch
Performance evaluation of the wave atom algorithm to classify mammographic images
Fuzzy impedance and force control of a Stewart platform
A new edge-preserving algorithm based on the CIE- Lu'v' color space for color contrast enhancement
A reduced probabilistic neural network for the classification of large databases
Discovery of hydrometeorological patterns
Impact of plug-in hybrid electric vehicle charging/discharging management on a microgrid
New throughput-based antenna selection scheme
A low-order nonlinear amplifier model with distributed delay terms
An artificial neural network approach for sensorless speed estimation via rotor slot harmonics
A novel hybrid recurrent wavelet neural network control of permanent magnet synchronous motor drive for electric scooter
A control scheme employing an adaptive hysteresis current controller and an uncomplicated reference current generator for a single-phase shunt active power filter
Reliability-based generation resource planning in electricity markets
Model-based robust chaotification using sliding mode control
Expert Doctor Verdis: Integrated medical expert system
Comparison of different methods for determining diabetes
2013
Cilt: 21 - Sayı : 5
A rule induction algorithm for knowledge discovery and classification
Topology and control design of a sinusoidal very low frequency high voltage generator
Operational characteristics of a filtering rectifier transformer for industrial power systems
Antiwindup design for the speed loop PI controller of a PMSM servo system
Analysis of conducted EMI with a standalone solar-powered DC motor
A control algorithm for a simple flywheel energy storage system to be used in space applications
Efficient feature integration with Wikipedia-based semantic feature extraction for Turkish text summarization
Unscented transformation-based probabilistic optimal power flow for modeling the effect of wind power generation
A learning approach in link adaptation for MIMO-OFDM systems
Optimized features selection for gender classification using optimization algorithms
Shifted-modified Chebyshev filters
Big bang-big crunch optimization algorithm with local directional moves
Feature-based fault detection of industrial gas turbines using neural networks
Extracting fuzzy rules for the diagnosis of breast cancer
A new tool for QT interval analysis during sleep in healthy and obstructive sleep apnea subjects: a study on women
Lightweight wireless protocol based on IEEE 802.11 for delay sensitive telerobotic systems
Optimal placement of PMUs using improved tabu search for complete observability and out-of-step prediction
Anticipating the friction coefficient of friction materials used in automobiles by means of machine learning without using a test instrument
A linearly slotted waveguide antenna and comparison of it with a sinusoidal one
Mitigation of SSR and LFO with a TCSC based-conventional damping controller optimized by the PSO algorithm and a fuzzy logic controller
Review of distinctive phonetic features and the Arabic share in related modern research
Cilt: 21 - Sayı : 6
Simulation of transient processes on overvoltage in electric transmission lines using ATP-EMTP
Bidding strategy of generation companies in a competitive electricity market using the shuffled frog leaping algorithm
Encoderless position estimation and error correction techniques for miniature mobile robots
A novel UWB CPW-fed ring-shaped antenna with band-notched characteristics
Classification of power quality disturbances using S-transform and TT-transform based on the artificial neural network
High-performance CMOS CCI in a 0.35 m m CMOS technology and a new all-pass filter application
Direct adaptive fuzzy sliding mode decoupling control for a class of underactuated mechanical systems
Opposition-based discrete action reinforcement learning automata algorithm case study: optimal design of a PID controller
K-means algorithm with a novel distance measure
Performance evolution of a newly developed general-use hybrid AIS-ANN system: AaA-response
Robust sensorless predictive control of induction motors with sliding mode voltage model observer
Interaction Analysis of Multi-Function FACTS and D-FACTS Controllers by MRGA
Four-dimensional model for describing the status of peers in peer-to-peer distributed systems
Discrete event simulation-based performance evaluation of Internet routing protocols
Outlier rejection fuzzy c-means (ORFCM) algorithm for image segmentation
An improved back projection imaging algorithm for subsurface target detection
CADA: channel and delay aware scheduler for real-time applications in WiMAX networks
A new extension of activity networks for modeling and verification of timed systems
Economic power dispatch of power systems with pollution control using artificial bee colony optimization
Hybrid of genetic algorithm and great deluge algorithm for rough set attribute reduction
Cilt: 21 - Sayı : 3
Chaos control of single time-scale brushless DC motor with sliding mode control method
Control, design, and implementation of a low-cost ultracapacitor test system
Image pattern recognition technique for the classification of multiple power quality disturbances
Multiobjective daily Volt/VAr control in distribution systems with distributed generation using binary ant colony optimization
Low-cost and power-efficient thread collision detection scheme for shared caches in a real-time multithreaded embedded processor
Foster impedance data modeling via singly terminated LC ladder networks
A reputation-based privacy management system for social networking sites
A word spotting method for Farsi machine-printed document images
Real-time implementation of an amplitude-locked loop: a validation on the dSPACE DS1006-based platform
Controlling the chaotic discrete-Hénon system using a feedforward neural network with an adaptive learning rate
Microelectronic interconnect modeling with a periodical lumped RLC-network
Investigation of the telluric effects arising along the cathodically protected natural gas pipeline between Karadeniz Ereğli and Düzce
A new multiobjective fuzzy shuffled frog-leaping algorithm for optimal reconfiguration of radial distribution systems in the presence of reactive power compensators
Cancer risk analysis by fuzzy logic approach and performance status of the model
An event-driven WSN MAC protocol design based on active node and dynamic time slot allocation
Optimal sizing and siting distributed generation resources using a multiobjective algorithm
An automated signal alignment algorithm based on dynamic time warping for capillary electrophoresis data
A new Morse code scheme optimized according to the statistical properties of Turkish
A novel approach for the reconfiguration of distribution systems considering the voltage stability margin
A framework for medical image retrieval using merging-based classification with dependency probability-based relevance feedback
Cilt: 21 - Sayı : 4
Biogeography-based optimization for voltage stability improvement and reactive reserve management
A new multiobjective optimal allocation of multitype FACTS devices for total transfer capability enhancement and improving line congestion using the harmony search algorithm
Novel control strategy for voltage source converters based on energy function
Simulation of a large electric distribution system having intensive harmonics in the industrial zone of Konya
On-line self-learning PID controller design of SSSC using self-recurrent wavelet neural networks
Actor-critic-based ink drop spread as an intelligent controller
Wavelet multiscale analysis of a power system load variance
A computer-aided diagnosis system for breast cancer detection by using a curvelet transform
Multiobjective differential evolution-based performance optimization for switched reluctance motor drives
Role of energy management in hybrid renewable energy systems: case study-based analysis considering varying seasonal conditions
OPF-based reactive power planning and voltage stability limit improvement under single line outage contingency condition through evolutionary algorithms
A real-time extraction of active and reactive current using microcontrollers for a multipulse STATCOM
Capability-based task allocation in emergency-response environments: a coalition-formation approach
Complexity reduction of RBF multiuser detector for DS-CDMA using a genetic algorithm
Implementation of an AC model for transmission expansion planning considering reliability constraints
Comparison study of computational parameter values between LRN and NARX in identifying nonlinear systems
An automated prognosis system for estrogen hormone status assessment in breast cancer tissue samples
Linear switched reluctance motor control with PIC18F452 microcontroller
A blind digital signature scheme using elliptic curve digital signature algorithm
Shape control of cyclic networks in multirobot formations
Cilt: 21 - Sayı : 1
PC-based data acquisition system for PLC-controlled linear switched reluctance motor
Circulating current analysis between strands in armature winding of a turbo-generator using analytical model
The vortex effect of Francis turbine in electric power generation
A practical disturbance generator to test performances of various power quality mitigation devices
The development of a hardware- and software-based simulation platform for the training of driver candidates
Spatiotemporal model checking of location and mobility related security policy specifications
Current-mode quadrature oscillator based on CCCDTAs with noninteractive dual-current control for both condition of oscillation and frequency of oscillation
Modified iterated extended Kalman particle filter for single satellite passive tracking
Artificial immunity-based induction motor bearing fault diagnosis
A time--memory trade-off approach for the solution of nonlinear equation systems
Optimal release policies for a software system with warranty cost and change-point phenomenon
A novel multirobot map fusion strategy for occupancy grid maps
Composite power system adequacy assessment based on postoptimal analysis
Orthogonal array based performance improvement in the gravitational search algorithm
A bacterial foraging optimization approach for tuning type-2 fuzzy logic controller
System designs to perform bioinformatics sequence alignment
A temporal XML data model in anaesthesia information systems
A comparative study in power oscillation damping by STATCOM and SSSC based on the multiobjective PSO algorithm
Solution to the unit commitment problem using an artificial neural network
Control in networked systems with fuzzy logic
Cilt: 21 - Sayı : 2
Exergoeconomic analysis of a solar photovoltaic system in İstanbul, Turkey
A new technique for rare-event simulation based on partition of the region
Stability analysis of time-delayed DC motor speed control system
Design of adaptive compensators for the control of robot manipulators robust to unknown structured and unstructured parameters
An improved hybrid approach for the PLC-based implementation of reduced RW supervisors
Model of a photovoltaic panel emulator in MATLAB-Simulink
An automotive vehicle dynamics prototyping platform based on a remote control model car
Solution of transient stability-constrained optimal power flow using artificial bee colony algorithm
EETBR: Energy efficient token-based routing for wireless sensor networks
A unified approach to speech enhancement and voice activity detection
Symbol detection using the differential evolution algorithm in MIMO-OFDM systems
End-to-end transmission time-based opportunistic routing protocols for bus networks
Performance analysis of bid calculation methods in multirobot market-based task allocation
A comparative review of regression ensembles on drug design datasets
Design and evaluation of schemes for computing sum of squares in fixed point
Characterization of internal disturbances and external faults in transformers using an S-transform--based algorithm
A new color image quality measure based on YUV transformation and PSNR for human vision system
A new digital image steganography algorithm based on visible wavelength
A method for ontology-based semantic relatedness measurement
7-DOF Haptic device and interface design
Cilt: 21 - Sayı : Sup.2
Power efficient linear transmitters using sigma-delta modulation with switching amplifiers
Adaptive control of a time-varying rotary servo system using a fuzzy model reference learning controller with variable adaptation gain
A low-voltage and low-power sinh-domain universal biquadratic filter for low-frequency applications
Control of diesel engines mounted on vehicles in mobile cranes via CAN bus
Optimized operation and maintenance costs to improve system reliability by decreasing the failure rate of distribution lines
XOR-based artificial bee colony algorithm for binary optimization
A novel dynamic bandwidth selection method for thinning noisy point clouds
Compact microstrip antenna with metamaterial for wideband applications
SSR mitigation with SSSC thanks to fuzzy control
ANFIS-based estimation of PV module equivalent parameters: application to a stand-alone PV system with MPPT controller
Multi-objective Weighted Sum Approach Model Reduction by Routh-Pade Approximation using Harmony Search
Stopping spam with sending session verification
Minimal controller synthesis algorithms with output feedback and their generalization
Prediction of emissions and exhaust temperature for direct injection diesel engine with emulsified fuel using ANN
A flexible rule-based framework for pilot performance analysis in air combat simulation systems
Flow velocity measurement and analysis based on froth image SIFT features and Kalman filter for froth flotation
Hybrid SPR algorithm to select predictive genes for effectual cancer classification
Negative selection algorithm for dengue outbreak detection
A video-based eye pupil detection system for diagnosing bipolar disorder
Design of information retrieval experiments: the sufficient topic set size for providing an adequate level of confidence
Optimal placement and sizing of distributed generations in distribution systems for minimizing losses and THDv using evolutionary programming
Cilt: 21 - Sayı : Sup.1
A combined protective scheme for fault classification and identification of faulty section in series compensated transmission lines
Preserving location privacy for a group of users
Survey of power quality in Turkish national transmission network
Multireference TDOA-based source localization
Improving power systems operation through multiobjective optimal location of optimal unified power flow controller
Estimation of fuel cost curve parameters for thermal power plants using the ABC algorithm
Optimal iterative learning control design for generator voltage regulation system
Using the CSM and VSM techniques to speed up the ICA algorithm without a loss of quality
A new method based on sensitivity analysis to optimize the placement of SSSCs
A 5-bit 5 Gs/s flash ADC using multiplexer-based decoder
Adaptive detection of chaotic oscillations in ferroresonance using modified extended Kalman filter
An efficient solving of the traveling salesman problem: the ant colony system having parameters optimized by the Taguchi method
Data hiding in digital images using a partial optimization technique based on the classical LSB method
Comparison of speech parameterization techniques for the classification of speech disfluencies
Fuzzified artificial bee colony algorithm for nonsmooth and nonconvex multiobjective economic dispatch problem
Swarm optimization tuned Mamdani fuzzy controller for diabetes delayed model
Novel approaches for automated epileptic diagnosis using FCBF selection and classification algorithms
A new intelligent classifier for breast cancer diagnosis based on a rough set and extreme learning machine: RS + ELM
Discovery of the connection among age-related macular degeneration, MTHFR C677T and PAI 1 4G/5G gene polymorphisms, and body mass index by means of Bayesian inference methods
Simulation of discrete electromagnetic propagation model for atmospheric effects on mobile communication
Detection of microcalcification clusters in digitized X-ray mammograms using unsharp masking and image statistics
2012
Cilt: 20 - Sayı : 6
Characterization of a total power radiometer
Context gathering and management for centralized context-aware handover in heterogeneous mobile networks
Neutral current wave shape analysis using wavelet for diagnosis of winding insulation of a transformer
An algorithm for the detection and analysis of arud meter in Diwan poetry
Analysis of orthogonal matching pursuit based subsurface imaging for compressive ground penetrating radars
Improvement of smart card based password authentication scheme for multiserver environments
Vibration analysis of base structure on SINS using PZT actuators
Finite element modeling of a superconducting fault current limiter
Localized power-aware routing with an energy-efficient pipelined wakeup schedule for wireless sensor networks
Skewed alpha-stable distributions for modeling and classification of musical instruments
A hybrid approach for power quality monitoring
A pseudo spot price of electricity algorithm applied to environmental economic active power dispatch problem
Optimal in vitro realization of pulsatile coronary artery flow waveforms using closed-loop feedback algorithms with multiple flow control devices
Cilt: 20 - Sayı : 4
Effects of routing algorithms on novel throughput improvement of mobile ad hoc networks
Application of asymmetrical periodic signals as test vectors for analog fault detection: a novel perspective of classical concepts
Automatic tracking system for weather satellite image reception
Research on cutoff wavelength of dominant mode and field patterns in trapezoidal microshield lines
Simulated annealing algorithm-based Elman network for dynamic system identification
Trellis-based optimization of layer extraction for rate adaptation in real-time scalable stereo video coding
Voltage- and current-controlled high CMRR instrumentation amplifier using CMOS current conveyors
A new formulation method for solving kinematic problems of multiarm robot systems using quaternion algebra in the screw theory framework
Fast software multiplication in F2[x] for embedded processors
Reconfigurable antenna structure for RFID system applications using varactor-loading technique
A novel three-phase transformer hysteresis model in MATLABTM Simulink
HealthFace: A web-based remote monitoring interface for medical healthcare systems based on a wireless body area sensor network
Improved square properties of IDEA
Low-complexity channel estimation for OFDM systems in high-mobility fading channels
Cilt: 20 - Sayı : 5
Optimization and coordination of SVC-based supplementary controllers and PSSs to improve power system stability using a genetic algorithm
Noncausal forward/backward two-pass IIR digital filters in real time
Short-term nodal congestion price forecasting in a large-scale power market using ANN with genetic optimization training
Packet traffic features of IPv6 and IPv4 protocol traffic
Study and control of 5-level PWM rectifier-5-level NPC active power filter cascade using feedback control and redundant vectors
Effects of diacritics on Turkish information retrieval
A new multipath channel transformation technique
Circuit model and parasitic parameter extraction of the spark plug in the ignition system
Cascade fuzzy logic control of a single-link flexible-joint manipulator
Forecasting natural gas consumption in İstanbul using neural networks and multivariate time series methods
Stability of the adaptive fading extended Kalman filter with the matrix forgetting factor
Cilt: 20 - Sayı : 1
Action-reaction based parameters identification and states estimation of flexible systems
A robust adaptive fuzzy control of a unified power flow controller
Lyapunov's direct method for stabilization of the Furuta pendulum
Optimization of pilot tones using differential evolution algorithm in MIMO-OFDM systems
TTradeoff tables for compression functions: how to invert hash values radeoff Tables for Compression Functions: How to Invert Hash Values
An improved FastSLAM framework using soft computing
Reducing electromagnetic interferences in flyback AC-DC converters based on the frequency modulation technique
A video encoder design combining edge-adaptive lifting and scalable block-matching with motion compensation
An improved distributed power-control scheme for cellular mobile systems
New change impact factor estimation in software development
Tunable SRR-based substrate for a microstrip patch antenna
Energy savings in simultaneous multi-threaded processors through dynamic resizing of datapath resources
Design parameters and uncertainty bound estimation functions for adaptive-robust control of robot manipulators
Mathematical modeling and vertical flight control of a tilt-wing UAV
Cilt: 20 - Sayı : Sup.2
Considering transmission loss for an economic dispatch problem without valve-point loading using an EP-EPSO algorithm
Symbolic regression of crop pest forecasting using genetic programming
Three-dimensional numerical simulation of crystal and crucible rotations during Czochralski growth of GexSi1-x single crystals
Hybrid MPI+UPC parallel programming paradigm on an SMP cluster
Adaptive optimization of EDCA parameters for improved QoS in multi-media applications
Resolution enhancement of video sequences by using discrete wavelet transform and illumination compensation
Analysis, nonlinear control, and chaos generator circuit of another strange chaotic system
Dynamic stability enhancement of a multimachine electric power system using STATCOM
A neural-based electromagnetic inverse scattering approach to the detection of a conducting cylinder coated with a dielectric material
Model selection and score normalization for text-dependent single utterance speaker verification
Improved computerized evaluation of abdominal aortic aneurysm
Hybrid feature selection for text classification
Using a game-based learning model as a new teaching strategy for computer engineering
On the degradation of OTA-C--based CMOS low-power filter circuits for biomedical instrumentation
Cilt: 20 - Sayı : Sup.1
Voltage stability via energy function analysis on reduced order model of power system
Broken rotor bar fault detection in inverter-fed squirrel cage induction motors using stator current analysis and fuzzy logic
A novel approach for optimal allocation of distributed generations based on static voltage stability margin
Fractional PID controllers tuned by evolutionary algorithms for robot trajectory control
A novel structure to enhance magnetic force and velocity in tubular linear reluctance motor
Abstract feature extraction for text classification
PI and PID stabilization of neutral and retarded systems with time delay
Application of the Posicast control method to static shunt compensators
An implementation of modified scatter search algorithm to transmission expansion planning
Analysis and characterization of longitudinal flux single-sided linear switched reluctance machines
A novel motor speed calculation method using square wave speed sensor signals via fast Fourier transform
Identification of linear dynamic systems using the artificial bee colony algorithm
Observer path design by imitation of competing constraints for bearing only tracking
Optimal design of UPFC-based damping controller using imperialist competitive algorithm
Cilt: 20 - Sayı : 2
Load sharing based on moving roles in multiagent systems
Training data optimization for ANNs using genetic algorithms to enhance MPPT efficiency of a stand-alone PV system
Artificial bee colony algorithm for dynamic deployment of wireless sensor networks
A modified particle swarm optimization algorithm and its application to the multiobjective FET modeling problem
A comparative study of blind and nonblind trainings in a single-carrier WiMAX (IEEE 802.16-2004) radio
Fuzzy logic control for a wind/battery renewable energy production system
Discrete particle swarm optimization for the team orienteering problem
Cilt: 20 - Sayı : 3
Performance comparison of new nonparametric independent component analysis algorithm for different entropic indexes
Economic analysis of a wind-battery hybrid system: an application for a house in Gebze, Turkey, with moderate wind energy potential
Joint source-channel coding for error resilient transmission of static 3D models
Adaptive QoS scheduling in a service-oriented grid environment
Short-term wind speed estimation based on weather data
Investigating the relation between optimum guard interval and channel delay spread for a MC-CDMA system
Compact dual-band split-ring antenna for 2.4/5.2 GHz WLAN applications
Design, implementation, and performance analysis of the WorldFIP/ATM local bridge
Multiobjective FET modeling using particle swarm optimization based on scattering parameters with Pareto optimal analysis
Performance analysis of wind turbine-driven permanent magnet generator with matrix converter
Reduction of torque ripple in DTC for induction motor using input-output feedback linearization
A simulator based on an energy-efficient GPR algorithm modified for the scanning of all types of regions
A procedure to specify the weighting matrices for an optimal load-frequency controller
2011
Cilt: 19 - Sayı : 2
Design and ground plane optimization of a CPW-fed ultra-wideband antenna
Cognitive and encrypted communications: state of the art and a new approach for frequency-agile filters
Imitation of basic hand preshapes by fluid based method: fluidics formation control
Asynchronous signal processing for brain-computer interfaces
Four quadrant FGMOS analog multiplier
Determining wave propagation characteristics of MV XLPE power cable using time domain reflectometry technique
New SRCO with explicit current-mode output using two CCs and grounded capacitors
An extended component-based reliability model for protective systems to determine routine test schedule
A new LVI assisted PSFB DC-DC converter
Cilt: 19 - Sayı : 4
Minimization of load shedding by sequential use of linear programming and particle swarm optimization
A novel expression for resonant length obtained by using artificial bee colony algorithm in calculating resonant frequency of C-shaped compact microstrip antennas
Measuring traffic flow and classifying vehicle types: A surveillance video based approach
A logic method for efficient reduction of the space complexity of the attribute reduction problem
A unified series-parallel active filter system for nonperiodic disturbances
Fully parallel ANN-based arrhythmia classifier on a single-chip FPGA: FPAAC
A new, improved CMOS realization of CDTA and its filter applications
Decentralized robust load-frequency control of power system based on quantitative feedback theory
Sliding mode controller gain adaptation and chattering reduction techniques for DSP-based PM DC motor drives
Applying the finite element method to analyze predischarge lightning rods
A fuzzy rule-based system for predicting the live weight of Holstein cows whose body dimensions were determined by image analysis
Design of CDBA-based active polyphase filter for low-IF receiver applications
Performance evaluation of nonparametric ICA algorithm for fetal ECG extraction
Cilt: 19 - Sayı : 5
A multi-lateral rehabilitation system
A vision based feedback mechanism for 6-DOF Stewart Platform
Spoiler effects reduction with using active power filter on a direct torque controlled induction machine
Design and control of the humanoid robot SURALP
Self-tuning fuzzy PD-based stiffness controller of a 3 \times 3 Stewart platform as a man-machine interface
Learning control of robot manipulators in the presence of additive disturbances
Vibrations control of light rail transportation vehicle via PID type fuzzy controller using parameters adaptive method
Model predictive controller design of hydrocracker reactors
Design and development of a tilt-wing UAV
Semi-active H\infty robust control of six degree of freedom structural system using MR damper
Delay-dependent stability criteria for interval time-varying delay systems with nonuniform delay partitioning approach
Ellipsoid based L2 controller design for LPV systems with saturating actuators
Cilt: 19 - Sayı : 6
Linear motor for multi--car elevators: design and position measurement
Application of a hybrid evolutionary technique for efficiency determination of a submersible induction motor
A stationary, variable DOF flight control system for an unmanned quadrocopter
Statistical significance based graph cut regularization for medical image segmentation
Elman neural network-based nonlinear state estimation for induction motors
Investigation of Luhn's claim on information retrieval
Delay compensation in bilateral control using a sliding mode observer
Design and development of workstation for microparts manipulation and assembly
Using learning automata for multi-objective generation dispatch considering cost, voltage stability and power losses
Active vibration control of seismic excited structural system using LMI-based mixed H2/H\infty state feedback controller
Change detection without difference image computation based on multiobjective cost function optimization
An algorithm to minimize within-class scatter and to reduce common matrix dimension for image recognition
A Luenberger-sliding mode observer with rotor time constant parameter estimation in induction motor drives
Cilt: 19 - Sayı : 1
Design and evaluation of a query-based jamming detection algorithm for wireless sensor networks
Line detection with adaptive random samples
PAPR reduction using artificial bee colony algorithm in OFDM systems
Automatic knowledge extraction for filling in biography forms from Turkish texts
Design and implementation of a voice-controlled prosthetic hand
Analysis of frequency characteristics of electrical arcs on the insulating sheath of the ADSS fiber optic cables
Neuro-fuzzy soft-switching hybrid filter for impulsive noisy environments
Sequence alignment from the perspective of stochastic optimization: a survey
Cooperative diversity with continuous phase modulation
Co-occurrence matrix and its statistical features as a new approach for face recognition
A novel and efficient algorithm for adaptive filtering: Artificial bee colony algorithm
Stray magnetic field distributed around a PMSM
Adaptive Wiener-turbo systems with JPEG & bit plane compressions in image transmission
Simulation of storage time versus reverse bias current for p+n and pin diodes
Adaptive Wiener-turbo systems with JPEG & bit plane compressions in image transmission
Cilt: 19 - Sayı : 3
A complete motor protection algorithm based on PCA and ann: A real time study
Fully integrated universal biquads using operational transresistance amplifiers with MOS-C realization
Electromagnetic scattering from layered strip geometries: the method of moments study with the sinc basis
On the existence of common Lyapunov functions for consensus algorithms based on averaging
An effective real coded GA based fuzzy controller for speed control of a BLDC motor without speed sensor
ECG denoising on bivariate shrinkage function exploiting interscale dependency of wavelet coefficients
Remote mutual authentication and key agreement scheme based on elliptic curve cryptosystem
Rate 1 space-time and space-frequency spreading diversity technique
Online speed control of a brushless AC servomotor based on artificial neural networks
A study on influence of borax to polyester insulators
Experimental analysis of an alternator excited with photovoltaic cells for small power plants
Obtaining the secondary impedance of single-sided linear induction motor with a double layer reaction rail: a new approach
Low-complexity parameters estimator for multiple 2D domain incoherently distributed sources
2010
Cilt: 18 - Sayı : 5
Fractional order sliding mode control with reaching law approach
SDRE optimal control of drug administration in cancer treatment
Asynchronous particle swarm optimization-based search with a multi-robot system: simulation and implementation on a real robotic system
Formation control of nonholonomic mobile robots using implicit polynomials and elliptic Fourier descriptors
Experimental investigation of shaft transducerless speed and position control of ac induction and interior permanent magnet motors
A model based nonlinear adaptive controller for the passive bilateral telerobotic system
Robust feedback design for nonlinear systems: a survey
Analysis and estimation of motion transmission errors of a timing belt drive
Direct discrete-time control of port controlled Hamiltonian systems
Precise position control using shape memory alloy wires
Modeling and control of flow problems by adaptation-based linear parameter varying models
A novel algorithm for sensorless motion control of flexible structures
Simultaneous rotor and stator resistance estimation of squirrel cage induction machine with a single extended kalman filter
Cilt: 18 - Sayı : 4
Hierarchical fuzzy controller applied to multi-input power system stabilizer
Adaptive neuro-fuzzy inference system to improve the power quality of variable-speed wind power generation system
Securing fuzzy vault schemes through biometric hashing
A novel method for lung segmentation on chest CT images: complex-valued artificial neural network with complex wavelet transform
The analysis of a semiconductor single asymmetric and symmetric step-index laser for even and odd fields by alpha method
Washout filter based control for the Hodgkin-Huxley nerve cell dynamics
Fault detection and identification for voltage sag state estimation in power systems
Active illumination and appearance model for face alignment
A robust method for state estimation of power system with UPFC
A small scale education experiment kit with wind generator-PEM electrolyser system and modelling
New approach FPGA-based implementation of discontinuous SVPWM}
Programmable design and implementation of a chaotic system utilizing multiple nonlinear functions
Corrective action planning considering FACTS allocation and optimal load shedding using bacterial foraging oriented by particle swarm optimization algorithm
Cilt: 18 - Sayı : 6
Detection of static eccentricity for permanent magnet synchronous motors using the coherence analysis
Design and evaluation of a linear switched reluctance actuator for positioning tasks
Delta-sigma subarray beamforming for ultrasound imaging
A second order approximation to reduce the complexity of LDPC decoders based on Gallager's approach
Performance analysis of swarm optimization approaches for the generalized assignment problem in multi-target tracking applications
Optimal feature selection for 3D facial expression recognition using coarse-to-fine classification
Fuzzy adaptive neural network approach to path loss prediction in urban areas at GSM-900 band
Design of optimal sampling times for pharmacokinetic trials via spline approximation
Behaviors of real-time schedulers under resource modification and a steady scheme with bounded utilization
Using covariates for improving the minimum redundancy maximum relevance feature selection method
The use of FPGA in field-oriented control of an induction machine
Usage of spline interpolation in catheter-based cardiac mapping
An approach based on particle swarm computation to study the nanoscale DG MOSFET-based circuits
Fast computation of determination of the prime implicants by a novel near minimum minimization method
StPSO: Strengthened particle swarm optimization
Cilt: 18 - Sayı : 2
Experimental studies of a scaled-down TSR-based SVC and TCR-based SVC prototype for voltage regulation and compensation
k-anonymity based framework for privacy preserving data collection in wireless sensor networks
Stochastic stability of the discrete-time constrained extended Kalman filter
A new chaotic attractor from general Lorenz system family and its electronic experimental implementation
Stator resistance estimation using ANN in DTC IM drives
Relativistic electromagnetism in rotating media
An RFID based indoor tracking method for navigating visually impaired people
Artificial neural network based chaotic generator for cryptology
A power quality monitoring system based on MATLAB Server Pages
Nonlinear dynamic analysis of mitral valve doppler signals: surrogate data analysis
Robust multimedia watermarking: Hidden Markov model approach for video sequences
The effect of heat on the metallurgical structure and B-H characteristic of (stator) armature with iron-silicon alloy in electric machines
The effect of the channel reliability factor in the MAP algorithm on turbo code performance in bluetooth systems
Cilt: 18 - Sayı : 3
A review of high frequency surface wave radar for detection and tracking of ships
Remote sensing applications of HF skywave radar: The Australian experience
Nonlinear scattering at HF: Prospects for exploitation in OTH radar systems
Oceanography radar system WERA: features, accuracy, reliability and limitations
Modeling and simulation strategies in high frequency surface wave radars
Propagation modeling and path loss prediction tools for high frequency surface wave radars
Implementation of method for operating multiple high frequency surface wave radars on a common carrier frequency
Antenna systems with beam forming and beam steering capabilities for HF skywave radars
Dual-use of compact HF radars for the detection of mid- and large-size vessels
Radar cross-section (RCS) analysis of high frequency surface wave radar targets
Cilt: 18 - Sayı : 1
A new multi-tier adaptive military MANET security protocol using hybrid cryptography and signcryption
Effect of transformer on stochastic estimation of voltage sag due to faults in the power system: a PSCAD/EMTDC simulation
P2P collaborative filtering with privacy
Determination of autoregressive model orders for seizure detection
A novel square-root domain realization of first order all-pass filter
Differential power analysis resistant hardware implementation of the RSA cryptosystem
Adaptive hysteresis band control for constant switching frequency in DTC induction machine drives
Improved analytical modulation transfer function for image intensified charge coupled devices*
Comparison of daubechies wavelets for hurst parameter estimation
State variable distributed-parameter representation of transmission line for transient simulations
Building linear parameter varying models using adaptation, for the control of a class of nonlinear systems
2009
Cilt: 17 - Sayı : 1
Electronic Differential with Direct Torque Fuzzy Control for Vehicle Propulsion System
A Novel EP Approach for Multi-area Economic Dispatch with Multiple Fuel Options
Insulation Condition Assessment of Power Transformers Using Accelerated Ageing Tests
Accurate Prediction of Crosstalk for RC Interconnects
Voltage Control of Self-Excited Induction Generator using Genetic Algorithm
Softcomputing Identification Techniques of Asynchronous Machine Parameters: Evolutionary Strategy and Chemotaxis Algorithm
Cilt: 17 - Sayı : 2
Analytical regularization method for electromagnetic wave diffraction by axially symmetrical thin annular strips
Parameter identification of a separately excited dc motor via inverse problem methodology
CDM based controller design for nonlinear heat exchanger process
An intelligent face features generation system from fingerprints
Polymorphic worm detection using strong token-pair signatures
PCA based protection algorithm for transformer internal faults
Cilt: 17 - Sayı : 3
Construction and applications of the Dirichlet-to-Neumann operator in transmission line modeling
Weak penetration and radiation through apertures in conducting bodies of revolution
EMC education at the University of Technology Zurich
Conductive EMI noise measurement for switched reluctance drive
Experimantal characterization and equivalent circuit extraction of nanowires for signal integrity applications
Two dimensional EBG structures for multiband noise mitigation
Variance and kurtosis-based characterization of resonances in stochastic transmission lines: local versus global random geometries
Modeling and calculation of electromagnetic field in the surroundings of a large power transformer
Development of a magnetic field model and insertion~into a commercial electromagnetic simulator
Electromagnetic radiation from multilayer printed circuit boards: a 3D FDTD-based virtual emission predictor
VLSI-cell placement technique for Architecture of Field Programmable Gate Array (FPGA) design
Electromagnetic compatibility engineering education: problems, challenges and perspectives
New ESD standard and influence on test equipment requirements
2008
Cilt: 16 - Sayı : 3
Role of Artificial Intelligence in the Reliability Evaluation of Electric Power Systems
Distance Relaying Algorithm for Double-Circuit Transmission Line with Compensation for Reactance Effect under Standard Availability of Measurements
Evolutionary Algorithms for the Unit Commitment Problem
Power Distortion Issues in Wind Turbine Power Systems Under Transient States
Pattern Synthesis with Uniform Circular Arrays for the Reduction of WCDMA Intercell Interference
Biomedical Circuits and Systems Dedicated for Sensing and Neurostimulation: Case study on Urinary Bladder dysfunctions
A Study on the Reliability of Polyester Insulators Blended with Borax
Dispersion Analysis of the ADI-FDTD and S-FDTD Methods
Cilt: 16 - Sayı : 2
Generation of High-Repetition-Rate Pulses Utilizing Cascaded Single Mode Fiber and Semiconductor Optical Amplifier
Zero Crossing Counter for Accuracy Improvement of FMCW Range Detection
A New Approach Using Temporal Radial Basis Function in Chronological Series
A Novel Theoretical Procedure to Determine Absorption and Gain Coefficients in a Symmetric Single Step-Index Quantum Well Laser
Comparison of Basic Linear Filters in Extracting Auditory Evoked Potentials
Method of Moments Solution by Using Sinc-Type Basis Functions for the Scattering from a Finite Number of Conducting Strip Gratings
Online Tuning of Set-point Regulator with a Blending Mechanism Using PI Controller
Cilt: 16 - Sayı : 1
A Summary of Recent Developments on Metamaterial-based and Metamaterial-inspired Efficient Electrically Small Antennas
The Characteristic Basis Function Method (CBFM): A Numerically Efficient Strategy for Solving Large Electromagnetic Scattering Problems
Use of Characteristic Basis Function Method for Scattering from Terrain Profiles
Recent Advances in Perfectly Matched Layers in Finite Element Applications
Dual-Band Split-Ring Antenna Design for WLAN Applications
Analysis and Optimization of Mobile Phone Antenna Radiation Performance in the Presence of Head and Hand Phantoms
My Collaboration with Raj Mittra: Contributions to the Theory of Perfectly Matched Layers
From Engineering Electromagnetics to Electromagnetic Engineering: Using Computational Electromagnetics for Synthesis Problems
Comparison of Rectangular and Cylindrical FDTD representations on a Ring Resonator Problem
2007
Cilt: 15 - Sayı : 3
A New Relaying Algorithm to Detect Loss of Excitation of Synchronous Generators
A Sensitive ANN Based Differential Relay for Transformer Protection with Security against CT Saturation and Tap Changer Operation
Study of the Warranty Cost Model for Software Reliability with an Imperfect Debugging Phenomenon
A Novel Method for Load Flow Analysis of Unbalanced Three-Phase Radial Distribution Networks
Optimal Location for Shunt Connected FACTS Devices in a Series Compensated Long Transmission Line
Cilt: 15 - Sayı : 1
Analysis of Finline Structures with Consideration of Metallization Thickness and Substrate Mounting Grooves
A Critical Review on Electromagnetic Precursors and Earthquake Prediction
A Combinatorial Approach of Real GA & Fuzzy to ATC Enhancement
Offline Signature Verification Using Graph Matching
Data Mining and Costas Arrays
A Variable Gain PI Controller Used for Speed Control of a Direct Torque Neuro Fuzzy Controlled Induction Machine Drive
New Method for Investigation of Dynamic Parameters of Thermoelectric Modules
UMTS-HSDPA in High Altitude Platforms (HAPs) Communications
A Combinatorial Approach of Real GA & Fuzzy to ATC Enhancement
Cilt: 15 - Sayı : 2
Swarms in Biology and Engineering
Using Angle of Arrival (Bearing) Information for Localization in Robot Networks
Modelling a Deposition Process in Collective Construction
Aggregation in Swarm Robotic Systems: Evolution and Probabilistic Control
Swarm Robot Systems Based on the Evolution of Personality Traits
A Communication Module and TDMA Scheduling for a Swarm of Small Submarines
Design and Implementation of an Ad-Hoc Routing Protocol for Mobile Robots
Aggregation, Foraging, and Formation Control of Swarms with Non-Holonomic Agents Using Potential Functions and Sliding Mode Techniques
A Review of Studies in Swarm Robotics
A VRP-Based Route Planning for a Mobile Robot Group
2006
Cilt: 14 - Sayı : 2
A Corpus-Based Concatenative Speech Synthesis System for Turkish
A PI Controller Based on Gain-Scheduling for Synchronous Generator
Speech Pre-Processing for Pitch and Pitch-Cylce Evolutions Smoothing
Adaptive 3D Visual Servo Control of Robot Manipulators via Composite Camera Inputs
Human Identification Using Gait
An ANN Based Approach to Improve the Distance Relaying Algorithm
Agents for Integrating Distributed Data for Complex Computations
Uplink Practical Capacity and Interference Statistics of WCDMA Cigar-shaped Microcells for Highways in Rural Zones with Non-Uniform Spatial Traffic Distribution and Imperfect Power Control
Sturm-Liouville Equation: The Bridge between Eigenvalue and Green's Function Problems
Cilt: 14 - Sayı : 3
State of the Art for Differential Circuits in Wireless Transceivers: A New Wideband Active Balun in SiGe BiCMOS Technology
State-Space Synthesis of Current-Mode First-Order Log-Domain Filters
The Effect of Collector Doping on InP-Based Double Heterojunction Bipolar Transistors
Controlling Rail Potential of DC Supplied Rail Traction Systems
Wind Power, Distributed Generation: New Challenges, New Solutions
Artificial Neural Design of Microstrip Antennas
An Adaptive Feedforward Amplifier Application for 5.8 GHz
Digital Fractional Frequency Synthesizer Based on Counters
Statistical Model of Hot-Carrier Degradation and Lifetime Prediction for P-MOS Transistors
Cilt: 14 - Sayı : 1
Electrical and Computer Engineering Education in the 21st Century: Issues, Perspectives and Challenges
Engineering Education in the 21st Century: Issues and Perspectives
Infusing Technical Communication and Teamwork within the ECE Curriculum
Computational Sciences: At the Intersection of Science and Engineering--Case Study for Academic and Research Programs
Introducing Students to Communications Concepts Using Optical and Low-Power Wireless Devices
A Labview-Based Virtual Instrument for Engineering Education: A Numerical Fourier Transform Tool
Readjusting the current trend in Electrical Power Engineering
Secure Digital Communication using Chaotic Symbolic Dynamics
Speaking with Numbers: Scientific Literacy and Public Understanding of Science
An Experience on Problem Based Learning in an Engineering Faculty
Online Learning Resource for Smooth Transition from High School to Engineering Education
An International Dialogue on Electrical Engineering Education
Two, Three and Four-Dimensional Electromagnetics Using Differential Forms
Electronic Publishing for Engineering Education
Modeling and Simulation Concepts in Engineering Education: Virtual Tools
Complex Analysis of the Lossy-Transmission Line Theory: A Generalized Smith Chart
2005
Cilt: 13 - Sayı : 1
Ultra Wide-Band : State of the Art; Implementation of a Performance-Controllable Low-Noise Amplifier
A new CMOS Differential OTRA Design for the Low Voltage Power Supplies in the Sub-Micron Technologies
Sigma-Delta Voltage to Frequency Converter With Phase Modulation Possibility
Prior Knowledge Input Method In Device Modeling
Computation of Association Probabilities for Single Target Tracking with the Use of Adaptive Neuro-Fuzzy Inference System
A Realization of SC-CNN-Based Circuit Using FTFN
An Algorithm for Image Clustering and Compression
Impacts of Distributed Generators on the Oscillatory Stability of Interconnected Power Systems
"Soft Computing" Methods in Microwave Active Device Modeling
Design, Simulation and Tests of a Low-cost Microstrip Patch Antenna Arrays for the Wireless Communication
Fault Tolerant Control With Re-Configuring Sliding-Mode Schemes
Multilayer Capacitor Model of the Earth's Upper Crust
Transformer Protection Using the Wavelet Transform
"Soft Computing" Methods in Microwave Active Device Modeling
Cilt: 13 - Sayı : 3
A Content-Based Fuzzy Image Database Based on The Fuzzy ARTMAP Architecture
A Survey on the H.264/AVC Standard
Color Image Profiling Using Fuzzy Sets
A Model for User Profiling Systems with Interacting Agents
Control Charts Approach for Scenario Recognition in Video Sequences
Cilt: 13 - Sayı : 2
Silhouette Based Human Motion Detection and Analysis for Real-Time Automated Video Surveillance
Implementation of a New Self-Tuning Fuzzy PID Controller on PLC
Two-Variable Scattering Formulas to Describe Some Classes of Lossles Two-Ports with Mixed, Lumped Elements and Commensurate Stubs
Turbo Codes: The Issue of Average Union Upper Bound under Imperfect Channel State Information in Rayleigh Fading Channels
A Robustness Analysis Procedure for Realistic Missiles
Performance Analysis of a Fuzzy Logic Based Rotor Resistance Estimator of an Indirect Vector Controlled Induction Motor Drive
2004
Cilt: 12 - Sayı : 2
An Agile Information Systems Development Method in Use
A Pattern Based Approach to Web Design Formalization
A Platform for Software Engineering Course Projects
The 7 C's for Creating Living Software: A Research Perspective for Quality-Oriented Software Engineering
An Information System for Streamlining Software Development Process
Cilt: 12 - Sayı : 1
Real-Time Classification Algorithm for Recognition of Machine Operating Modes by Use of Self-Organizing Maps
Mining Classification Rules by Using Genetic Algorithms with Non-random Initial Population and Uniform Operator
Neural Analysis of Top Shielded Multilayered Coplanar Waveguides
An Implicit Surface Modeling Technique Based on a Modular Neural Network Architecture
A Simple and Global Optimization Algorithm for Engineering Problems: Differential Evolution Algorithm
Cilt: 12 - Sayı : 3
Global Stability Analysis of an End-to-End Congestion Control Scheme for General Topology Networks with Delay
A Robustness Analysis of Game-Theoretic CDMA Power Control
Flow Controller Design and Performance Analysis for Self-Similar Network Traffic
Optimum and Suboptimum Blind Channel and Symbol Estimation for SISO Channels
2003
Cilt: 11 - Sayı : 3
Initiatory Electrons in Compressed Gases in Positive Polarity
A Digitally Controlled Drive System for Travelling-wave Ultrasonic Motor
A New Simulator for HVdc/ac Systems-Part II
Parametrically Tunable Audio Shelving And Equalizing Ladder Wave Digital Filters
A New Simulator for HVdc/ac Systems-Part I
Improving the Security and Flexibility of One-Time Passwords by Signature Chains
Cilt: 11 - Sayı : 1
An Analytical Model for Calculating Bounds on Call Blocking Probabilities in LEO Satellite Networks
Network Traffic Properties of Bimodal Multicast Protocol
The \mathcal{H}\infty Model Matching Problem with One Degree of Freedom Static State Feedback
New Approaches for On-line Tuning of the Linear Sliding Surface Slope in Sliding Mode Controllers
Resonant Demagnetization PWM Forward Converter
Cilt: 11 - Sayı : 2
MUSIC, CBF and Differential Algebraic Constant Modulus Algorithms for Direction of Arrival Estimation in Passive Coherent Locators
Zero-Crossing Based Demodulation of Minimum Shift Keying
New Infratechnologies in the Deregulated Power Sector
Design of a TEM-Cell with Increased Usable Test Area
A Full Adaptive Observer for DC Servo Motors
2002
Cilt: 10 - Sayı : 1
New Oscillator Topologies Using Inverting Second-Generation Current Conveyors
Approximations on the Aggregate MPEG Video Traffic and Their Impact on Admission Control
Walkthrough in Complex Environments at Interactive Rates using Level-of-Detail
Hybrid SR ARQ Scheme Using Trellis Coded Modulation for Point-To-Multipoint Communication Over Nonstationary Channels
A New Congestion Control Mechanism Proposed for the SSCOP Protocol
An Efficient Middleware Architecture Supporting Real-Time Distributed Object Programming
A New Petri-Net-Based Synthesis Technique for Supervisory Control of Discrete Event Systems
CPL: A Language for Real-Time Distributed Object Programming
Cilt: 10 - Sayı : 2
Time-Domain Simulation of Thin Material Boundaries and Thin Panels Using Digital Filters in TLM
Aspects of Radar Polarimetry
Two-Dimensional Photonic Crystal L-Shaped Bent Waveguide and its Application to Wavelength Multi/Demultiplexer
Network--Oriented Modeling of Radiating Electromagnetic Structures
2D Complex Point Source Radiation Problem II.~Complex Beams
Iterative Solution of Field Problems with a Varying Physical Parameter
An Efficient Preconditioner for Iterative Solvers
Development of a Cylindrical Waveguide Antenna Array with a High Isolation Between Receive - Transmit Sub arrays: Theory and Experiment
An Exact Line Integral Representation of the PO Radiation Integral from a Flat Perfectly Conducting Surfaces Illuminated by Elementary Electric or Magnetic Dipoles
Discrete Array Representation of Continuous Space-time Source Distributions
A Novel MoM Approach for Obtaining Accurate and Efficient Solutions in Optical Rib Waveguide
A Note on the Poisson Summation Formula and its Application to Electromagnetic Problems Involving Cylindrical Coordinates
Electromagnetic Engineering in the 21st Century: Challenges and Perspectives
2D Complex Point Source Radiation Problem I. Complex Distances and Complex Angles
SAR Simulations in Wireless Communication and Safety Discussions in the Society
Novel Time Domain Radiowave Propagators for Wireless Communication Systems
Floquet Wave Diffraction Theory for Tapered Planar Strip Array Green's Function
A Time Domain Uniform Geometrical Theory of Slope Diffraction for a Curved Wedge
Cilt: 10 - Sayı : 3
Scalar Wave Diffraction by Perfectly Soft Thin Circular Cylinder of Finite Length; Analytical Regularization Method
Array Pattern Nulling by Phase and Position Perturbations with the Use of a Modified Tabu Search Algorithm
Active Clamped ZVS Forward Converter With Soft-Switched Synchronous Rectifier
Stability Analysis of a Closed-Loop Control for a Pulse Width Modulated DC Motor Drive
Plane Wave Diffraction by a Dielectric Loaded Open Parallel Thick Plate Waveguide
2001
Cilt: 9 - Sayı : 2
Accurate Parameter Estimation for an Articulatory Speech Synthesizer with an Improved Neural Network Mapping
All-Optical Networking
Scalar Wave Diffraction by a Perfectly Soft Infinitely Thin Circular Ring
BAG Distributed Real-Time Operating System and Task Migration
Avalanche and Bit Independence Properties for the Ensembles of Randomly Chosen n \times n S-Boxes
Performance Evaluation of Safer K-64 and S-Boxes of the Safer Family
Ota-C Based Proportional-Integral-Derivative (PID) Controller and Calculating Optimum Parameter Tolerances
A More Efficient Design and Implementation of CAL Programs in Natural Science Using Object-Oriented Technology
Cilt: 9 - Sayı : 1
Meta-Genetic Programming: Co-evolving the Operators of Variation
Advances in Computer and Information Sciences: From Abacus to Holonic Agents
Fuzzy Modeling Approach for Integrated Assessments Using Cultural Theory
Combining Multiple Representations for Pen-based Handwritten Digit Recognition
An Integrated Design-Object Modeling Environment -- Pluggable Metamodel Mechanism --
2000
Cilt: 8 - Sayı : 1
Information and Average Information Rates of a Graphical Access Structure on Six Vertices
Knowledge-Based Navigation for Autonomous Road Vehicles
Comparison of Simulation Algorithms for the Hopfield Neural Network: An Application of Economic Dispatch
Decentralised H\infty Load Frequency Controller Design Based on SSVs
An Efficient Algorithm to Determine the Periodic Steady-State Solutions of Nonlinear Systems Using Extended Spectral Analysis
Cilt: 8 - Sayı : 2
A Pseudo Spot Price Algorithm Applied to the Pumped-Storage Hydraulic Unit Scheduling Problem
Radiation from an Impedance Loaded Parallel-Plate Waveguide
A Fuzzy Identification Method for Nonlinear Systems
Distance Spectra for Trellis Coded Modulation Schemes on Channels with Intersymbol Interference
Control Chart Pattern Recognition Using Artificial Neural Networks
1999
Cilt: 7 - Sayı : 1-3
Differentiating Type of Muscle Movement via AR Modeling and Neural Network Classification
On the Global Stabilization of Nonlinear Systems via Switching Manifolds
Effects of Parasitic Elements on Oscillation Frequency of OTA-C Sinusoidal Oscillators
A Simple Formula Obtained Using Tabu Search Algorithm for the Radiation Efficiency of a Resonant Rectangular Microstrip Antenna
Exclusive Disjunctions in Indefinite and Maybe Information in Relational Databases
1998
Cilt: 6 - Sayı : 2
Analysis of Images of Cells with Neurites
Signal Injection With Perceptual Criteria
Hierarchical Interconnection Network Architecture for Real-Time Systems
Adaptive Shape From Shading
A Parallel Pipelined Computer Architecture for Digital Signal Processing
Cilt: 6 - Sayı : 3
State of Art in Realistic Head Modeling for Electro-magnetic Source Imaging of the Human Brain
Imaging Electrical Current Density Using Nuclear Magnetic Resonance
Use of the Magnetic Field Generated by the Internal Distribution of Injected Currents for Electrical Impedance Tomography (MR-EIT)
Imaging Tissue Conductivity via Contactless Measurements: A Feasibility Study
Cardiac Passive Acoustic Localization: Cardiopal
Genetic Approach for the Determination of Object Parameters from X Ray Projections
FDTD Evaluation of the SAR Distribution in a Human Head Near a Mobile Cellular Phone
Functional Radionuclide Imaging Algorithm Based on the Appended Curve Deconvolution Technique and its Use in the Diagnosis of Renovascular Hypertension
Cilt: 6 - Sayı : 1
Performance of Prefiltered Model-Based Frequency Estimators
An Interoperability Infrastructure for Developing Multidatabase Systems
Distribution System Planning Usign Mixed Integer Programming
Object-Oriented Computer Simulations of Physical Systems Using Dual Reciprocity Boundary Element Methodology
Analysis of Nonlinear Sustained Oscillations in Discrete Systems with Backlash and Resolution by Using a Discretization-Oriented Describing Function
ISSN:
1300-0632
Yayın Aralığı:
Yılda 6 Sayı
Yayıncı:
TÜBİTAK
117.2b
71.2b
Dergi Detay