A novel efficient TSV built-in test for stacked 3D ICs

A novel efficient TSV built-in test for stacked 3D ICs

A through-silicon via (TSV) is established as the main enabler for a three-dimensional integrated circuit(3D IC) that increases system density and compactness. The exponential increase in TSV density led to TSV-inducedcatastrophic and parametric faults. We propose an original architecture that detects errors caused by TSV manufacturingdefects. The proposed design for testability is a built-in technique that detects errors in an early manufacturing stageand is hence very economically attractive. The proposal is capable of testing each and every TSV in the network. Thetechnique achieves high fault coverage and high observability.

___

  • [1] Miller F, Wild T, Herkersdorf A. TSV-virtualization for multi-protocol interconnect in 3D-ICs. In: 15th Euromicro Conference on Digital System Design; 5–8 September 2012; İzmir, Turkey. New York, NY, USA: IEEE. pp. 374-381.
  • [2] Nguyen V, Ryu M, Kim Y. Performance and power analysis of through silicon via based 3D IC integration. In: International Workshop on System Level Interconnect Prediction; 5 June 2011; San Diego, CA, USA. New York, NY, USA: IEEE. p. 1.
  • [3] Chakrabarty K, Deutsch S, Thapliyal H , Ye F. TSV defects and TSV- induced circuit failures: The third dimension in test and design-for-test. In: IEEE International Reliability Physics Symposium; 15–19 April 2012; Anaheim, CA, USA. New York, NY, USA: IEEE. pp. 5F.1.1- 5F.1.12.
  • [4] Lee HHS, Chakrabarty K. Test challenges for 3D integrated circuits. IEEE Des Test Comput 2009; 26: 26-35.
  • [5] Ramaswami S. TSV unit processes and integration. In: Garrou P, Koyanagi M, Ramm P, editors. Handbook of 3D Integration: 3D Process Technology. New York, NY, USA: Wiley-VCH, 2014. pp. 79-97.
  • [6] Patti R. Homogeneous 3D integration. In: Papanikolaou A, Soudris D, Radojcic R, editors. Three Dimensional System Integration. Boston, MA, USA: Springer, 2010. pp. 51-71.
  • [7] Rodríguez-Montañés R, Arumí D, Figueras J. Post-bond test of through-silicon vias with open defects. In: 19th IEEE European Test Symposium; 26–30 May 2014; Paderborn, Germany. New York, NY, USA: IEEE. pp. 1-6.
  • [8] Cho M, Liu C, Kim DH, Lim SK, Mukhopadhyay S. Pre-bond and post-bond test and signal recovery structure to characterize and repair TSV defect induced signal degradation in 3-D system. IEEE T Comp Pack Man 2011, 1: 1718-1727.
  • [9] Huang SY. Pre-bond and post-bond testing of TSVs and die-to-die interconnects. In: IEEE 25th Asian Test Symposium; 21–24 November 2016; Hiroshima, Japan. New York, NY, USA: IEEE. pp. 80-85.
  • [10] Marinissen EJ, Verbree J, Konijnenburg A. A structured and scalable test access architecture for TSV-based 3D stacked ICs. In: 28th VLSI Test Symposium; 19–22 April 2010; Santa Cruz, CA, USA. New York, NY, USA: IEEE. pp. 269-274.
  • [11] Marinissen EJ. Challenges in testing TSV-based 3D stacked ICs: test flows, test contents, and test access. In: IEEE Asia Pacific Conference on Circuits and Systems; 6–9 December 2010; Kuala Lumpur, Malaysia. New York, NY, USA: IEEE. pp. 544-547.
  • [12] Cadence Design Systems. 3D ICs with TSVs: Design Challenges and Requirements. Cadence White Paper. San Jose, CA, USA: Cadence Design Systems, 2010.
  • [13] Paska V. Développement d’architectures HW/SW tolérantes aux fautes et auto-calibrantes pour les technologies Intégrées 3D. PhD, Université de Grenoble, Grenoble, France, 2014 (in French).
  • [14] Papadopoulos SG, Gerakis V, Hatzopoulos A. Oscillation-based technique for TSV post-bond test considerations. In: 6th International Conference on Modern Circuits and Systems Technologies; 4–6 May 2017; Thessaloniki, Greece. New York, NY, USA: IEEE. pp. 1-4.
  • [15] Huang YJ, Li JF , Chen J, Kwai DM, Chou YF, Wu CW. A built-in self-test scheme for the post-bond test of TSVs in 3D ICs. In: 29th VLSI Test Symposium; 1–5 May 2011; Dana Point, CA, USA. New York, NY, USA: IEEE. pp. 20-25.
  • [16] Guibane B, Hamdi B, Mtibaa A. A novel iddq scanning technique for pre-bond testing. International Journal of Applied Engineering Research 2016, 11: 5781-5786.
  • [17] Benabdeladhim M, Fradi A, Hamdi B. New auto- reconfiguration technique for 3D IC with TSV defects. International Journal of Advanced Computer Technology 2014, 6: 14-24.
  • [18] Malta D. TSV formation overview. In: Garrou P, Koyanagi M, Ramm P, editors. Handbook of 3D Integration: 3D Process Technology. New York, NY, USA: Wiley-VCH, 2014. pp. 79-97.
  • [19] ] Lin YM, Zhan CJ, Juang JE, Lau JH, Chen TH, Lo R, Kao M, Tian T, Tu KN. Electromigration in Ni/Sn intermetallic micro bump joint for 3D IC chip stacking. In: Electronic Components and Technology Conference; 31 May–3 June 2011; Lake Buena Vista, FL, USA. New York, NY, USA: IEEE. pp. 351-357.
  • [20] Chakrabarty K, Deutsch S, Thapliyal H, Ye F. TSV defects and TSV-induced circuit failures: the third dimension in test and design-for-test. In: IEEE International Reliability Physics Symposium; 15–19 April 2012; Anaheim, CA, USA. New York, NY, USA: IEEE. pp. 5F.1.1-5F.1.12.
  • [21] Stucchi M, Katti G, Velenis D. TSV characterization and modeling. In: Papanikolau A, Soudris D, Radojcic R, editors. Three Dimensional System Integration.
  • [22] Boston, MA, USA: Springer, 2010. pp. 33-49.
Turkish Journal of Electrical Engineering and Computer Sciences-Cover
  • ISSN: 1300-0632
  • Yayın Aralığı: Yılda 6 Sayı
  • Yayıncı: TÜBİTAK