A data-aware write-assist 10T SRAM cell with bit-interleaving capability

A data-aware write-assist 10T SRAM cell with bit-interleaving capability

Cell stability is becoming an important design concern as process technology continues to scale down. In thispaper, we present a single-ended 10T SRAM cell that improves simultaneously both read static noise margin (RSNM)and write static noise margin (WSNM) by employing separate read buffer and power gating transistors, respectively. Thecross-point write structure of the proposed cell facilitates bit-interleaved architecture to enhance soft-error immunity.Simulation is done on 65-nm CMOS technology on Cadence. Simulation results show that the RSNM of the proposedSRAM cell is 2.78 times and 1.47 times higher than those of the conventional 6T and Schmitt trigger-based 10T (ST-2)cells, respectively, at 0.4 V. The WSNM of the proposed design is 5.14 times larger than that of the two-port disturbfree 9T (TPDF9T) cell (without write assist) at 0.4 V. Write delay of the proposed cell is 77.56% less than that of theTPDF9T cell at 0.4 V. Leakage power dissipation of the proposed SRAM cell is 0.89 times that of the ST-2 cell at0.4 V. The proposed cell occupies 1.34 times more area than the conventional 6T cell

___

  • Lin S, Kim Y, Lombardi F. A highly-stable nanometer memory for low-power design. In: International Workshop on Design and Test of Nano Devices, Circuits and Systems; 2008. New York, NY, USA: IEEE. pp. 17-20.
  • Bhavnagarwala A, Tang X, Meindl J. The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J Solid-St Circ 2001; 36: 658-665.
  • Rajaei R, Asgari B, Tabandeh M, Fazeli M. Single event multiple upset-tolerant SRAM cell designs for nano-scale CMOS technology. Turk J Electr Eng Co 2017; 25: 1035-1047.
  • Tu MH, Lin JY, Tsai MC, Lu CY, Lin YJ, Wang MH, Huang HS, Lee KD, Shih WC, Jou SJ et al. A single-ended disturb-free 9T sub-threshold SRAM with cross-point data-aware write word-line structure, negative bit-line and adaptive read operation timing tracing. IEEE J Solid-St Circ 2012; 47: 1469-1482.
  • Chang L, Montoye RK, Nakamura Y, Batson KA, Eickemeyer RJ, Dennard RH, Haensch W, Jamsek D. An 8TSRAM for variability tolerance and low-voltage operation in high performance caches. IEEE J Solid-St Circ 2008; 43: 956-962.
  • Kulkarni JP, Roy K. Ultra low voltage process-variation-tolerant Schmitt trigger-based SRAM design. IEEE T VLSI Syst 2012; 20: 319-331.
  • Lu CY, Chuang CT, Jou SJ, Tu MH, Wu YP, Huang CP, Kan PS, Huang HS, Lee KD, Kao YS. A 0.325 V, 600-kHz, 40-nm 72-kb 9T subthreshold SRAM with aligned boosted write word line and negative write bit line write-assist. IEEE T VLSI Syst 2015; 23: 958-962.
  • Oh TW, Jeong H, Kang K, Park J, Yang Y, Jung SO. Power-gated 9T SRAM cell for low-energy operation. IEEE T VLSI Syst 2017; 25: 1183-1187.
  • Pasandi G, Fakhraie S. An 8T low voltage and low-leakage half selection disturb-free SRAM using bulk-CMOS and FinFETs. IEEE T Electron Dev 2014; 61: 2357-2363.
  • Seevinck E, List FJ, Lohstroh J. Static noise margin analysis of MOS SRAM cells. IEEE J Solid-St Circ 1987; 22: 748-754.
  • Benton CH, Anantha CP. Static noise margin variation for sub-threshold SRAM in 65-nm CMOS. IEEE J Solid-St Circ 2006; 41: 1673-1679.
  • Pal S, Arif S. A single ended write double ended read decoupled 8T SRAM cell with improved read stability and writability. In: International Conference on Computer Communication and Informatics; 2015. New York, NY, USA: IEEE. pp. 1-4.
  • Chang IJ, Kim JJ, Park SP, Roy K. A 32 kb 10T sub-threshold SRAM array with bit interleaving and differential read scheme in 90nm CMOS. IEEE J Solid-St Circ 2009; 44: 650-658.
  • Noguchi H, Okumura S, Iguchi Y, Fujiwara H, Morita Y, Nii K, Kawaguchi H, Yoshimoto M. Which is the best dual port SRAM in 45 nm process technology? -8T, 10T single ended, and 10T differential. In: International Conference on Integrated Circuit Design and Technology; 2008. New York, NY, USA: IEEE. pp. 55-58.
  • Pasandi G, Fakhraie SM. A 256-kb 9T near-threshold SRAM with 1 k cells per bitline and enhanced write and read operations. IEEE T VLSI Syst 2015; 23: 2438-2446.
  • Ahmad S, Gupta MK, Alam N, Hasan M. Single-ended Schmitt-trigger based robust low power SRAM cell. IEEE T VLSI Syst 2016; 24: 2634-2642.
  • Wen L, Duan Z, Li Y, Zeng X. Analysis of read disturb-free 9T SRAM cell with bit-interleaving capability. Microelectron J 2014; 45: 815-824.