NVRH-LUT: A nonvolatile radiation-hardened hybrid MTJ/CMOS-based look-up table for ultralow power and highly reliable FPGA designs

NVRH-LUT: A nonvolatile radiation-hardened hybrid MTJ/CMOS-based look-up table for ultralow power and highly reliable FPGA designs

Complementary metal oxide semiconductor (CMOS) downscaling leads to various challenges, such as highleakage current and increase in radiation sensitivity. To solve such challenges, hybrid MTJ/CMOS technology-baseddesign has been considered as a very promising approach thanks to the high speed, low power, good scalability, and fullcompatibility of magnetic tunnel junction (MTJ) devices with CMOS technology. One important application of MTJsis the efficient utilization in building nonvolatile look-up tables (NV-LUTs) used in reconfigurable logic. However, NVLUTs face severe reliability issues in nanotechnology due to the increasing process variations, reduced supply voltage,and high energetic particle strike at sensitive nodes of CMOS circuits. This paper proposes a nonvolatile radiationhardened look-up table (NVRH-LUT) for advanced reconfigurable logic. Compared with previous works, the proposedNVRH-LUT is fully robust against single-event upsets and also single-event double-node upsets that are among the mainreliability-challenging issues for NV-LUTs. Results have shown that NVRH-LUT not only provides increasing reliabilityand reduced bit error rate but also offers low delay and low energy consumption.

___

  • [1] Pearton S, Ren F, Patrick E, Law M, Polyakov AY. Ionizing radiation damage effects on gan devices. ECS Journal of Solid State Science and Technology 2016; 5 (2): 35-60.
  • [2] Rajaei R, Asgari B, Tabandeh M, Fazeli M. Single event multiple upset-tolerant SRAM cell designs for nano-scale CMOS technology. Turkish Journal of Electrical Engineering & Computer Sciences 2017; 25 (2): 1035-1047.
  • [3] Rajaei R, Tabandeh M, Fazeli M. Low cost soft error hardened latch designs for nano-scale CMOS technology in presence of process variation. Microelectronics Reliability 2013; 53 (6): 912-924.
  • [4] Wolf S, Awschalom D, Buhrman R, Daughton J, Molnar SV et al. Spintronics: a spin-based electronics vision for the future. Science 2001; 294 (5546): 1488-1495.
  • [5] Deng E, Wang Y, Wang Z, Klein JO, Dieny B et al. Robust magnetic full-adder with voltage sensing 2T/2MTJ cell. In: IEEE/ACM International Symposium on Nanoscale Architectures; Boston, MA, USA; 2015. pp. 27-32.
  • [6] Rajaei R. Single event double node upset tolerance in mos/spintronic sequential and combinational logic circuits. Microelectronics Reliability 2017; 69: 109-114.
  • [7] Fong X, Kim Y, Choday SH, Roy K. Failure mitigation techniques for 1T-1MTJ spin-transfer torque MRAM bit-cells. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2014; 22 (2): 384-395.
  • [8] Naeimi H, Augustine C, Raychowdhury A, Lu SL, Tschanz J. STTRAM scaling and retention failure. Intel Technology Journal 2013; 17 (1): 54-76.
  • [9] Bishnoi R, Ebrahimi M, Oboril F, Tahoori MB. Architectural aspects in design and analysis of SOT-based memories. In: IEEE 2014 Design Automation Conference; Singapore; 2014. pp. 700-707.
  • [10] Oboril F, Bishnoi R, Ebrahimi M, Tahoori MB. Evaluation of hybrid memory technologies using SOT-MRAM for on-chip cache hierarchy. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2015; 34 (3): 367-380.
  • [11] Kang W, Zhang Y, Wang Z, Klein JO, Chappert C et al. Spintronics: Emerging ultra-low-power circuits and systems beyond MOS technology. ACM Journal on Emerging Technologies in Computing Systems 2015; 12 (2): 16-17.
  • [12] Jamshidi V, Fazeli M. Design of ultra low power current mode logic gates using magnetic cells. AEU-International Journal of Electronics and Communications 2018; 83: 270-279.
  • [13] Jamshidi V, Fazeli M, Patooghy A. mGate: A universal magnetologic gate for design of energy efficient digital circuits. IEEE Transactions on Magnetics 2017; 53 (10): 1-13.
  • [14] Kuon I, Tessier R, Rose J. FPGA architecture: Survey and challenges. Foundations and Trends in Electronic Design Automation 2008; 2 (2): 135-253.
  • [15] Suzuki D, Natsui M, Endoh T, Ohno H, Hanyu T. Six-input lookup table circuit with 62% fewer transistors using nonvolatile logic-in-memory architecture with series/parallel-connected magnetic tunnel junctions. Journal of Applied Physics 2012; 111 (7): 07E318-07E319.
  • [16] Lakys-Y, Zhao WS, Klein-JO, Chappert C. Hardening techniques for MRAM-based nonvolatile latches and logic. IEEE Transactions on Nuclear Science 2012; 59 (4): 1136-1141.
  • [17] Zand R, Roohi A, Fan D, DeMara RF. Energy-efficient nonvolatile reconfigurable logic using spin hall effect-based lookup tables. IEEE Transactions on Nanotechnology 2017; 16 (1): 32-43.
  • [18] Krishna MKG, Roohi A, Zand R, DeMara RF. Heterogeneous energy-sparing reconfigurable logic: spin-based storage and cnfet-based multiplexing. IET Circuits, Devices & Systems 2017; 11 (3): 274-279.
  • [19] Rajaei R. Radiation-hardened design of nonvolatile MRAM-based FPGA. IEEE Transactions on Magnetics 2016; 52 (10): 1-10.
  • [20] Kuttappa R, Homayoun H, Salmani H, Mahmoodi H. Reliability analysis of spin transfer torque based look up tables under process variations and nbti aging. Microelectronics Reliability 2016; 62: 156-166.
  • [21] Zand R, Demara RF. Radiation-hardened MRAM-based LUT for non-volatile FPGA soft error mitigation with multi-node upset tolerance. Journal of Physics D: Applied Physics 2017; 50 (50): 505002-505003.
  • [22] Bruchon N, Torres L, Sassatelli G, Cambon G. New nonvolatile FPGA concept using magnetic tunneling junction. In: IEEE 2006 Emerging VLSI Technologies and Architectures; Karlsruhe, Germany; 2006. pp. 6-11.
  • [23] Suzuki D, Hanyu T. Nonvolatile field-programmable gate array using 2-transistor-1-MTJ-cell-based multi-context array for power and area efficient dynamically reconfigurable logic. Japanese Journal of Applied Physics 2015; 54 (45): 04DE01-04DE02.
  • [24] Attaran A, Sheaves TD, Mugula PK, Mahmoodi H. Static design of spin transfer torques magnetic look up tables for ASIC designs. In: ACM 2018 Proceedings of the 2018 on Great Lakes Symposium on VLSI; New York, NY, USA; 2018. pp. 507-510.
  • [25] Fong X, Kim Y, Choday SH, Roy K. Failure mitigation techniques for 1T-1MTJ spin-transfer torque MRAM bit-cells. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2014; 22 (2): 384-395.
  • [26] Naeimi H, Augustine C, Raychowdhury A, Lu SL, Tschanz J. STTRAM scaling and retention failure. Intel Technology Journal 2013; 17 (1): 54-75.
  • [27] Fong X, Kim Y, Choday SH, Roy K. Failure mitigation techniques for 1T-1MTJ spin-transfer torque MRAM bit-cells. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2014; 22 (2): 384-395.
  • [28] Bishnoi R, Ebrahimi M, Oboril F, Tahoori MB. Read disturb fault detection in STT-MRAM. In: IEEE 2014 InternationalTest Conference; Seattle, WA, USA; 2014. pp. 1-7.
  • [29] Zhang Y, Wen W, Chen Y. The prospect of STT-RAM scaling from readability perspective. IEEE Transactions on Magnetics 2012; 48 (11): 3035-3038.
  • [30] Bromberg DM. Current-driven magnetic devices for non-volatile logic and memory. PhD, Carnegie Mellon University, Pittsburgh, PA, USA, 2014.
  • [31] Ikeda S, Hayakawa J, Ashizawa Y, Lee Y, Miura K et al. Tunnel magnetoresistance of 604% at 300 k by suppression of ta diffusion in CoFeB/ MgO/ CoFeB pseudo-spin-valves annealed at high temperature. Applied Physics Letters 2008; 93 (8): 082508-082509.
  • [32] Suzuki D, Natsui M, Endoh T, Ohno H, Hanyu T. Six-input lookup table circuit with 62% fewer transistors using nonvolatile logic-in-memory architecture with series/parallel-connected magnetic tunnel junctions. Journal of Applied Physics 2012; 111 (7): 07E318-07E319.
  • [33] Zhao W, Belhaire E, Javerliac V, Chappert C, Dieny B. A non-volatile flip-flop in magnetic FPGA chip. In: IEEE 2006 Design and Test of Integrated Systems in Nanoscale Technology; Tunis, Tunisia; 2006. pp. 323-326.
  • [34] Deng E, Zhang Y, Klein JO, Ravelsona D, Chappert C et al. Low power magnetic full-adder based on spin transfer torque MRAM. IEEE Transactions on Magnetics 2013; 49 (9): 4982-4987.
  • [35] Sicard E, Aziz SM. Introducing 32 nm technology in microwind35. Microwind Application Note 2010; 1: 1-16.
  • [36] Cha H, Patel JH. A logic-level model for/spl alpha/-particle hits in cmos circuits. In: IEEE 1993 Computer Design: VLSI in Computers and Processors; Cambridge, MA, USA; 1993. pp. 538-542.
  • [37] Harms JD, Ebrahimi F, Yao X, Wang JP. Spice macromodel of spin-torque-transfer-operated magnetic tunnel junctions. IEEE Transactions on Electron Devices 2010; 57 (6): 1425-1430.
  • [38] Krishnan S, Narayanan V, Cartier E, Ioannou D, Zhao K et al. Bias temperature instability in high-κ/metal gate transistors-gate stack scaling trends. In: IEEE 2012 Reliability Physics Symposium (IRPS); Anaheim, CA, USA; 2012. pp. 5A.1.1-5A.1.6.
  • [39] Cho M, Aoulaiche M, Degraeve R, Kaczer B, Franco J et al. Positive and negative bias temperature instability on sub-nanometer EOT high-K MOSFETs. In: IEEE 2010 Reliability Physics Symposium; Anaheim, CA, USA; 2010. pp. 1095-1098.
  • [40] Velamala JB, Sutaria KB, Shimuzu H, Awano H, Sato T et al. Logarithmic modeling of BTI under dynamic circuit operation: static, dynamic and long-term prediction. In: IEEE 2013 Reliability Physics Symposium; Anaheim, CA, USA; 2013. p. CM.3.1.
  • [41] Arden WM. The international technology roadmap for semiconductors-perspectives and challenges for the next 15 years. Current Opinion in Solid State and Materials Science 2002; 6 (5): 371-377.
  • [42] Zhang Y, Zhao W, Lakys Y, Klein JO, Kim JV et al. Compact modeling of perpendicular-anisotropy CoFeB/MgO magnetic tunnel junctions. IEEE Transactions on Electron Devices 2012; 59 (3): 819-826.
  • [43] Higo Y, Yamane K, Ohba K, Narisawa H, Bessho K et al. Thermal activation effect on spin transfer switching in magnetic tunnel junctions. Applied Physics Letters 2005; 87 (8): 082502-082503.
  • [44] Hosomi M, Yamagishi H, Yamamoto T, Bessho K, Higo Y et al. A novel nonvolatile memory with spin torque transfer magnetization switching: spin-RAM. In: IEEE 2005 Electron Devices Meeting; Washington, DC, USA; 2005. pp. 459-462.