TARA: temperature aware online dynamic resource allocation scheme for energy optimization in cloud data centres

TARA: temperature aware online dynamic resource allocation scheme for energy optimization in cloud data centres

Cloud data centres, which are characteristic of dynamic workloads, if not optimized for energy consumption, may lead to increased heat dissipation and eventually impact the environment adversely. Consequently, optimizing the usage of energy has become a hard requirement in today’s cloud data centres wherein the major part of energy consumption is mostly attributed to computing and cooling systems. Motivated by which this paper proposes an online algorithm for dynamic resource allocation, namely, temperature aware online dynamic resource allocation algorithm (TARA). TARA demonstrates a novel algorithm design to adapt dynamic resource allocation based on the temperature of a data centre using computational fluid dynamics (CFD). Also, TARA demonstrates a new dynamic resource reclaim strategy for making efficient resource allocations leading to efficient energy consumptions in dynamic environments. The proposed algorithm provides optimal resource allocation considering energy efficiency without being overwhelmed by online dynamic workloads. The optimal energy-efficient dynamic resource allocation for online workloads eventually optimizes the computing and cooling energy consumption. We show through theoretical analysis the correctness, efficiency and optimality bounds given as T ARA(P) ≤ 2OP T(P), relative to the optimal solution provided by offline dynamic resource allocation algorithm (OP T(P)). We show through empirical analysis that the proposed method is efficient and significantly saves energy by 26% when the data centre utilization is 100% compared to batched reclaim. The performance analysis shows significant improvement in optimizing computing and cooling efficiency. TARA can be used in multiple areas of on-demand dynamic resource allocation in cloud computing like resource allocation for virtual machine creation, resource allocation for virtual machine migrations, and virtual resources assignment for elastic cloud applications.

___

  • [1] Moore J, Chase J, Ranganathan P, Sharma R. Making scheduling cool: Temperature-aware workload placement in data centers. In: Proceedings of USENIX Annual Technical Conference; Berkeley, CA, USA; 2005. pp. 61–74.
  • [2] Ayanoglu E. Energy efficiency in data centers. In IEEE Communications Society Newsletter 2019. .
  • [3] Buyya R, Srirama SN, Casale G, Calheiros R, Simmhan Y et al. A manifesto for future generation cloud computing: Research directions for the next decade. ACM Computing Surveys 2019; 51 (5): 1-38. doi: 10.1145/3241737
  • [4] Tang Q, Gupta SKS, Varsamopoulos G. Energy-efficient thermal-aware task scheduling for homogeneous highperformance computing data centers: A cyber-physical approach. IEEE Transactions on Parallel and Distributed Systems 2008; 19 (11): 1458-1472. doi: 10.1109/TPDS.2008.111
  • [5] Ilager S, Ramamohanarao K, Buyya R. ETAS: Energy and thermal-aware dynamic virtual machine consolidation in cloud data center with proactive hotspot mitigation. Concurrency and Computation: Practice and Experience 2019; 31 (17): 1-15. doi: 10.1002/cpe.5221
  • [6] Kumar P, Sundaralingam V, Joshi Y. Effect of server load variation on rack air flow distribution in a raised floor data center. In: 27th Annual IEEE Semiconductor Thermal Measurement and Management Symposium; San Jose, CA, USA; 2011. pp. 90-96.
  • [7] He Z, He Z, Zhang X, Li Z. Study of hot air recirculation and thermal management in data centers by using temperature rise distribution. Building Simulation, Springer 2016; 9: 541-550. doi: 10.1007/s12273-016-0282-7
  • [8] Thilagavathi N, Uthariaraj VR. A study on energy and thermal management factors of green computing. In: Proceedings of International conference on big data and cloud computing; Coimbatore, India; 2017, pp. 41-50.
  • [9] Chan CS, Akyurek AS, Aksanli B, Rosing TS. Optimal performance aware cooling on enterprise servers. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2019; 38 (9): 1689-1702. doi: 10.1109/TCAD.2018.2855122
  • [10] Chen KC, Tang HW, Liao YH, Yang YC. Temperature tracking and management with number-limited thermal sensors for thermal-aware NoC systems. IEEE Sensors Journal 2020; 20 (21): 13018-13028. doi: 10.1109/JSEN.2020.3003657
  • [11] Tang Q, Mukherjee T, Gupta SKS, Cayton P. Sensor-based fast thermal evaluation model for energy efficient highperformance datacenters. In: Fourth International Conference on Intelligent Sensing and Information Processing; Bangalore, India; 2006. pp. 203-208.
  • [12] Wang L, Laszewski GV, Dayal J, He X, Younge AJ et al. Towards thermal aware workload scheduling in a data center. In: 10th IEEE International Symposium on Pervasive Systems, Algorithms, and Networks; Kaoshiung, Taiwan; 2009. pp. 116-122.
  • [13] Abbasi Z, Varsamopoulos G, Gupta SKS. Thermal aware server provisioning and workload distribution for internet data centers. In: Proceedings of the 19th ACM International Symposium on High Performance Distributed Computing; Chicago, Illinois, USA; 2010. pp. 130-141.
  • [14] Patel C, Bash C, Stahl L, Sullivan D. Computational Fluid dynamics modeling of high compute density data centers to assure system inlet air specifications. In: Proceedings of ASME IPACK’01 The Pacific Rim/ASME International Electronic Packaging Technical Conference and Exhibition; Kauai, Hawaii, USA; 2001. pp. 1-9.
  • [15] Mukherjee T, Banerjee A, Varsamopoulos G, Gupta SKS, Rungta S. Spatio-temporal thermal-aware job scheduling to minimize energy consumption in virtualized heterogeneous data centers. Computer Networks, Elsevier 2009; 53 (17): 2888-2904. doi: 10.1016/j.comnet.2009.06.008
  • [16] Banerjee A, Mukherjee T, Varsamopoulos G, Gupta SKS. Cooling-aware and thermal aware workload placement for green hpc data centers. In: IEEE International conference on green computing; Chicago, IL, USA; 2010. pp. 245-256.
  • [17] Zhao X, Peng T, Qin X, Hu Q, Ding L et al. Feedback control scheduling in energy-efficient and thermalaware data centers. IEEE Transactions on Systems, Man, and Cybernetics: Systems 2015; 46 (1): 48-60. doi: 10.1109/TSMC.2015.2434797
  • [18] Nada S, Said M, Rady M. CFD investigations of data centers thermal performance for different configurations of CRAC units and aisles separation. Alexandria engineering journal 2016; 55: 959-971. doi: 10.1016/j.aej.2016.02.025
  • [19] Moore J, Chase JS, Ranganathan P. Weatherman: Automated, online and predictive thermal mapping and management for data centers. In: IEEE international conference on Autonomic Computing; Dublin, Ireland; 2006. pp. 155-164.
  • [20] Yang J, Zhou X, Chrobak M, Zhang Y, Jin L. Dynamic thermal management through task scheduling. In: ISPASS IEEE International Symposium on Performance Analysis of Systems and software; Austin, TX, USA; 2008. pp. 191-201.
  • [21] Ramos L, Bianchini R. C-oracle: Predictive thermal management for data centers. In: IEEE 14th International Symposium on High Performance Computer Architecture; Salt Lake City, UT, USA; 2008. pp. 111-122.
  • [22] Bash C, Forman G. Cool job allocation: Measuring the power savings of placing jobs at cooling-efficient locations in the data center. In: USENIX Annual Technical Conference; Santa Clara, CA; 2007. pp. 1-6.
  • [23] Coskun A, Simunic T, Whisnant K, Gross K. Static and dynamic temperature-aware scheduling for multiprocessor SoCs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2008; 16: 1127-1140. doi: 10.1109/TVLSI.2008.2000726
  • [24] Moulik S, Sarkar A, Kapoor HK. TARTS: A temperature-aware real-time deadline partitioned fair scheduler. Journal of Systems Architecture 2021; 112 (2): 1-14. doi: 10.1016/j.sysarc.2020.101847
  • [25] Akbar S, Malik SR, Choo KKR, Khan SU, Ahmad N et al. A game-based thermal-aware resource allocation strategy for data centers. IEEE Transactions on Cloud Computing 2021; 9 (3): 845-853. doi: 10.1109/TCC.2019.2899310
Turkish Journal of Electrical Engineering and Computer Sciences-Cover
  • ISSN: 1300-0632
  • Yayın Aralığı: Yılda 6 Sayı
  • Yayıncı: TÜBİTAK
Sayıdaki Diğer Makaleler

Reactive power sharing and voltage restoration in islanded AC microgrids

Khurram Hashmi, Rizwan Ali, Waseem Aslam, Muhammad Mansoor Khan, Muhammad Hanan, Abubakar Siddique

Predictive optimization of sliding mode control using recurrent neural paradigm for nonlinear DFIG-WPGS during distorted voltage

Omar Busati Alzain, Xiangjie Liu

Independent closed loop control of di⁄dt and dv⁄dt for high power IGBTs

Deniz YILDIRIM, Osman TANRIVERDİ

Improving utilization rate of semi-parallel successive cancellation architecture for polar codes using 2-bit decoding

Dinesh Kumar DEVADOSS, Shantha Selvakumari RAMAPACKIAM

A new similarity-based multicriteria recommendation algorithm based on autoencoders

Zeynep BATMAZ, Cihan KALELİ

Modeling and evaluation of SOC-based coordinated EV charging for power management in a distribution system

Ramazan BAYINDIR, Murat AKIL, Emrah DOKUR

Calculating influence based on the fusion of interest similarity and information dissemination ability

Ziming Wang, Meng Qian, and Xin Zheng, Shan Yang, Shulin Cheng

45-nm CdS QDs photoluminescent filter for photovoltaic conversion efficiency recovery

Victor Manuel JUAREZ LUNA, Carlos VILLA ANGULO, Daniel SAUCEDA CARVAJAL, Ivett ZAVALA GUILLEN, Enrique RODARTE GUAJARDO, Francisco Javier CARRANZA CHAVEZ

The analysis and optimization of CNN Hyperparameters with fuzzy tree model for image classification

Kübra UYAR, Şakir TAŞDEMİR, İlker Ali ÖZKAN

On an electrostatic micropump with a rigorous mathematical model

Fatih DIKMEN, Ibrahim EFE, Yury TUCHKIN