Low leakage power gating technique for subnanometer CMOS circuits

Low leakage power gating technique for subnanometer CMOS circuits

Static power has become the most important factor in the fabrication of integrated circuits. Power gating techniques minimize leakage currents and help to develop ultra-low power and high-performance digital circuits. In this paper, a power gating approach is proposed to minimize leakage for subnanometer technologies. Simulation results reveal that the proposed technique reduces maximum of 96% leakage power, 33% dynamic power, 49% drowsy power, and 16% energy as compared to conventional techniques. The proposed technique offers good leakage reduction, even under variation of different operating parameters.

___

  • [1] Keating M, Flynn D, Aitken R, Gibbons A, Shi K. Low Power Methodology Manual for System on Chip Design. New York, NY, USA: Springer, 2007.
  • [2] Taur Y. CMOS design near the limit of scaling. IBM J Res Dev 2002; 46: 213-222.
  • [3] Lorenzo R, Chaudhary S. A novel all NMOS leakage feedback with data retention technique. In: IEEE 2013 International Conference on Control, Automation, Robotics and Embedded Systems; 16–18 December 2013; Jabalpur, India. Piscataway, NJ, USA: IEEE. pp. 1-5.
  • [4] Khoshavi N, Ashraf RA, DeMara RF. Applicability of power-gating strategies for aging mitigation of CMOS logic paths. In: IEEE 2014 57th International Midwest Symposium on Circuits and Systems; 3–6 August 2014; College Station, Texas. Piscataway, NJ, USA: IEEE. pp. 929-932.
  • [5] Mutoh S, Douseki T, Matsuya Y, Aoki T, Shigemitsu S, Yamada J. 1-V power supply high-speed digital circuit technology with multi threshold-voltage CMOS. IEEE J Solid-St Circ 1995; 30: 847-854.
  • [6] Kim S, Kosonocky SV, Knebel DR, Stawiasz K, Papaefthymiou MC. A multi-mode power gating structure for low-voltage deep-submicron CMOS ICs. IEEE T Circuits-II 2007; 54: 586-590.
  • [7] Jiao H, Kursun V. Ground bouncing noise suppression techniques for data preserving sequential MTCMOS Circuits. IEEE T VLSI Syst 2011; 19: 763-773.
  • [8] Chowdhury MH, Gjanci J, Khaled P. Controlling ground bounce noise in power gating scheme for system-on-a-chip. In: 2008 IEEE Computer Society Annual Symposium on VLSI; 7–9 April 2008; Montpellier, France. Los Alamitos, CA, USA: IEEE. pp. 437-440.
  • [9] Abdollahi A, Fallah F, Pedram M. An effective power mode transition technique in MTCMOS. In: IEEE 2005 42nd Design Automation Conference; 13–17 June 2005; Anaheim, CA. New York, NY, USA: IEEE. pp. 37-42.
  • [10] Tada H, Notani, Numa M. A novel power gating scheme with charge recycling. IEICE Electron Expr 2006; 12: 281-286.
  • [11] Liu Z, Kursun V. Low energy MTCMOS with sleep transistor charge recycling. In: IEEE 2007 50 th Midwest Symposium on Circuits and Systems; 5–8 August 2007; Montreal, Quebec. Piscataway, NJ, USA: IEEE. pp. 891- 894.
  • [12] Pakbaznia E, Pedram M. Design and application of multi modal power gating structures. In: IEEE 2009 International Symposium on Quality Electronic Design; 16–18 March 2009; San Jose, CA. Piscataway, NJ, USA: IEEE. pp. 120-126.
  • [13] Pakbaznia E, Pedram M. Design of a tri-modal multi-threshold CMOS switch with application to data retentive power gating. IEEE T VLSI Syst 2012; 20: 380-385.
  • [14] Zhao W, Cao Y. New generation of predictive technology model for sub-45 nm early design exploration .IEEE T Electron Dev 2006; 53: 2816-2823.
  • [15] Narendran S, Borkar S, De V, Antoniadis D, Chandrakasan A. Scaling of stack effect and its application for leakage reduction. In: IEEE 2001 International Symposium on Low Power Electronics and Design; 6–7 August 2001; Huntington Beach, CA, USA. New York, NY, USA: IEEE. pp. 195-200.
  • [16] Park J. Sleepy stack: a new approach to low power VLSI and memory. PhD, Georgia Institute of Technology, Atlanta, GA, USA, 2005.
Turkish Journal of Electrical Engineering and Computer Sciences-Cover
  • ISSN: 1300-0632
  • Yayın Aralığı: Yılda 6 Sayı
  • Yayıncı: TÜBİTAK