Accurate and compact stochastic computations by exploiting correlation

  Recent studies have shown, contrary to what was previously believed, that by exploiting correlation in stochastic computing (SC) designs, more accurate SC circuits with low area cost can be realized. However, if these basic SC circuits or blocks are cascaded in series to form a large complex system, correlation between stochastic numbers (SNs) from one block to the next would be lost; thus, inaccuracies are introduced. In this study, we propose correlating circuits to be used in building complex correlated SC systems. One of the circuits is the correlator that restores lost correlations between two SNs due to previous processing. In addition, a correlated SN generator is introduced to generate SN correlated to a specific SN. Experimental results show that our methods have improved the accuracy of stochastic computation and preserved the stochastic computing correlation without the need for conversion from SC to the conventional binary-encoded computing, and vice versa. Consequently, lower latency and lower area cost are achieved.

___

  • Gaines BR. Stochastic computing. In: Proceedings of the Spring Joint Computer Conference; 18–20 April 1967; Atlantic City, NJ, USA. New York, NY, USA: ACM. pp. 149-156.
  • Li P, Lilja DJ, Qian W, Bazargan K, Riedel MD. Computation on stochastic bit streams digital image processing case studies. IEEE T VLSI Syst 2014; 22: 449-462.
  • Canals V, Morro A, Oliver A, Alomar ML, Rossell￿ JL. A new stochastic computing methodology for efficient neural network implementation. IEEE T Neur Net Lear 2016; 27: 551-564.
  • Ichihara H, Sugino T, Ishii S, Iwagaki T, Inoue T. Compact and accurate digital filters based on stochastic computing. IEEE T Emerg Top Com (in press).
  • Alaghi A, Hayes JP. Survey of stochastic computing. ACM T Embed Comput S 2013; 12: 1-19.
  • Alaghi A, Hayes JP. Exploiting correlation in stochastic circuit design. In: 2013 IEEE 31st International Conference on Computer Design; 6–9 October 2013; Asheville, NC, USA. New York, NY, USA: IEEE. pp. 39-46.
  • Alaghi A, Li C, Hayes JP. Stochastic circuits for real-time image-processing applications. In: 2013 50th ACM/EDAC/IEEE Design Automation Conference; 29 May–7 June 2013; Austin, TX, USA. New York, NY, USA: IEEE. pp. 1-6.
  • Gaines BR. Stochastic computing systems. In: Tou JT, editor. Advances In Information Systems Science. Boston, MA, USA: Springer, 1969. pp. 37-172.
  • Bertsekas DP, Tsitsiklis JN. Introduction to Probability. 2nd ed. Belmont, MA, USA: Athena Scientific, 2002.
  • Budhwani RK, Ragavan R, Sentieys O. Taking advantage of correlation in stochastic computing. In: 2017 IEEE International Symposium on Circuits and Systems; 28–31 May 2017; Baltimore, MD, USA. New York, NY, USA: IEEE. pp. 1-4.
  • Alaghi A, Qian W, Hayes JP. The promise and challenge of stochastic computing. IEEE T Comput Aid D 2017; 37: 1515-1531.
  • Hayes JP. Introduction to stochastic computing and its challenges. In: 2015 52nd ACM/EDAC/IEEE Design Automation Conference; 8–12 June 2015; San Francisco, CA, USA. New York, NY, USA: IEEE. pp. 1-3.
  • Ichihara H, Ishii S, Sunamori D, Iwagaki T, Inoue T. Compact and accurate stochastic circuits with shared random number sources. In: 2014 IEEE 32nd International Conference on Computer Design; 19–22 October 2014; Seoul, South Korea. New York, NY, USA: IEEE. pp. 361-366.
  • Yuan B, Wang Y, Wang Z. Area-efficient scaling-free DFT/FFT design using stochastic computing. IEEE T Circuits- II 2016; 63: 1131-1135.
  • Yuan B, Zhang C, Wang Z. Design space exploration for hardware-efficient stochastic computing: a case study on discrete cosine transformation. In: 2016 IEEE International Conference on Acoustics, Speech and Signal Processing; 20–25 March 2016; Shanghai, China. New York, NY, USA: IEEE. pp. 6555-6559.
  • Alaghi A, Hayes JP. Fast and accurate computation using stochastic circuits. In: Proceedings of the Conference on Design, Automation and Test in Europe; 24–28 March 2014; Dresden, Germany. Leuven, Belgium: European Design and Automation Association. p. 76.
  • Kim K, Lee J, Choi K. An energy-efficient random number generator for stochastic circuits. In: 2016 21st Asia and South Pacific Design Automation Conference; 25–28 January 2016; Macau, China. New York, NY, USA: IEEE. pp. 256-261.
  • Chen TH, Ting P, Hayes JP. Achieving progressive precision in stochastic computing. In: 2017 IEEE Global Conference on Signal and Information Processing; 14–16 November 2017; Montreal, Canada. New York, NY, USA: IEEE. pp. 1320-1324.
  • Alaghi A, Hayes JP. On the functions realized by stochastic computing circuits. In: Proceedings of the 25th Great Lakes Symposium on VLSI; 20–22 May 2015; Pittsburgh, PA, USA. New York, NY, USA: ACM. pp. 331-336.
  • Jenson D, Riedel M. A deterministic approach to stochastic computation. In: Proceedings of the 35th International Conference on Computer-Aided Design; 7–10 November 2016; Austin, TX, USA. New York, NY, USA: ACM. p. 102.
  • Najafi MH, Jamali-Zavareh S, Lilja DJ, Riedel MD, Bazargan K, Harjani R. Time-encoded values for highly efficient stochastic circuits. IEEE T VLSI Syst 2017; 25: 1644-1657.
  • Najafi MH, Lilja D. High quality down-sampling for deterministic approaches to stochastic computing. IEEE T Emerg Top Com (in press).
  • Vahapoglu E, Altun M. From stochastic to bit stream computing: accurate implementation of arithmetic circuits and applications in neural networks. arXiv preprint, arXiv:180506262, 2018.
  • Ting P, Hayes JP. Eliminating a hidden error source in stochastic circuits. In: 2017 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems; 23–25 October 2017; Cambridge, UK. New York, NY, USA: IEEE. pp. 1-6.
  • Ting PS, Hayes JP. Isolation-based decorrelation of stochastic circuits. In: 2016 IEEE 34th International Conference on Computer Design; 2–5 October 2016; Scottsdale, AZ, USA. New York, NY, USA: IEEE. pp. 88-95.
  • Vahapoglu E, Altun M. Accurate synthesis of arithmetic operations with stochastic logic. In: 2016 IEEE Computer Society Annual Symposium on VLSI; 11–13 July 2016; Pittsburgh, PA, USA. New York, NY, USA: IEEE. pp. 415-420.
  • Najafi MH, Lilja DJ. High-speed stochastic circuits using synchronous analog pulses. In: 2017 22nd Asia and South Pacific Design Automation Conference; 16–19 January 2017; Chiba, Japan. New York, NY, USA: IEEE. pp. 481-487.