A power and area efficient approximate carry skip adder for error resilient applications

The compute-intensive multimedia applications on portable devices require power and area efficient arithmetic units. The adder is a prime building block of these arithmetic units and limits the overall performance. Therefore, this paper analyzes the logic operations of the state-of-the-art adders and presents a novel low complexity adder segment with new carry prediction logic by removing the redundant logic and sharing the common operations. Further, a new power and area efficient approximate carry skip PAEA-CSK adder is proposed using the novel adder segment. The effectiveness of the proposed PAEA-CSK adder is evaluated and compared over the existing adders by implementing them in VHDL and synthesizing using the Synopsys Design Compiler with the 65nm TSMC CMOS Library. The synthesis result shows that the proposed PAEA-CSK adder requires 27.28% and 18.03% less area and power, respectively, over the existing carry skip-based approximate adder with the same accuracy. Further, the Sobel edge detector SED embedded with the proposed adder improves PSNR by a minimum of 16.94 dB over the SED embedded with a nonzeroing bit-truncation adder.

___

  • [1] Mittal S. A survey of techniques for approximate computing. ACM Computing Surveys (CSUR) 2016; 48 (4): 1-33.
  • [2] Celia D, Vasudevan V, Chandrachoodan N. Optimizing power-accuracy trade-off in approximate adders. In: IEEE 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE); Dresden, Germany; 2018. pp. 1488- 1491.
  • [3] Jiang H, Han J, Lombardi F. A comparative review and evaluation of approximate adders. In: ACM Proceedings of the 25th edition on Great Lakes Symposium VLSI; Pittsburgh, PA, USA; 2015. pp. 343-348.
  • [4] Ramkumar B, Kittur HM. Low-power and area-efficient carry select adder. IEEE Transactions on Very Large Scale Integration Systems 2012; 20 (2): 371-375.
  • [5] Mohanty BK, Patel SK. Area–delay–power efficient carry-select adder. IEEE Transactions on Circuits and Systems II: Express Briefs 2014; 61 (6): 418-422.
  • [6] Bahadori M, Kamal M, Afzali-Kusha A, Pedram M. High-speed and energy-efficient carry skip adder operating under a wide range of supply voltage levels. IEEE Transactions on VLSI Systems 2016; 24 (2): 421-433.
  • [7] Gupta V, Mohapatra D, Raghunathan A, Roy K. Low-power digital signal processing using approximate adders. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2013; 32 (1): 124-137.
  • [8] Zhu N, Goh WL, Zhang W, Yeo KS, Kong ZH. Design of low-power high-speed truncation-error-tolerant adder and its application in digital signal processing. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2010; 18 (8): 1225-1229.
  • [9] Mahdiani HR, Ahmadi A, Fakhraie SM, Lucas C. Bio-inspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications. IEEE Transactions on Circuits and Systems I: Regular Papers 2010; 57 (4): 850-862.
  • [10] Kim Y, Zhang Y, Li P. Energy efficient approximate arithmetic for error resilient neuromorphic computing. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2015; 23 (11): 2733-2737.
  • [11] Kahng A, Kang S. Accuracy-configurable adder for approximate arithmetic designs. In: 49th ACM/EDAC/IEEE Design Automation Conference (DAC); San Francisco, CA, USA; 2012. pp. 820-825.
  • [12] Akbari O, Kamal M, Afzali-Kusha A, Pedram M. RAP-CLA: a reconfigurable approximate carry look-ahead adder. IEEE Transactions on Circuits and Systems II: Express Briefs 2018; 65 (8): 1089-1093.
  • [13] Frustaci F, Perri S, Corsonello P, Alioto M. Energy-quality scalable adders based on nonzeroing bit truncation. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2019; 27 (4): 964-968.
  • [14] Yang Z, Jain A, Liang J, Han J, Lombardi F. Approximate XOR/XNOR-based adders for inexact computing. In: 13th IEEE Conference on Nanotechnology; Beijing, China; 2013. pp. 690-693.
  • [15] Dalloo A, Najafi A, Garcia-Ortiz A. Systematic design of an approximate adder: the optimized lower part constantor adder. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2018; 26 (8): 1595-1599.
  • [16] Pashaeifar M, Kamal M, Afzali-Kusha A, Pedram A. Approximate reverse carry propagate adder for energy-efficient DSP applications. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2018; 99 (11): 1-12.
  • [17] Garg B, Sharma GK. A process-tolerant low-power adder architecture for image processing applications. Turkish Journal of Electrical Engineering & Computer Sciences 2019; 27 (3): 1839-1854.
  • [18] Zhu N, Goh WL, Yeo KS. Ultra low-power high-speed flexible probabilistic adder for error-tolerant applications. In: IEEE 2011 International SoC Design Conference (ISOCC); Jeju, South Korea; 2011. pp. 393-396.
  • [19] Kim Y, Zhang Y, Li P. An energy efficient approximate adder with carry skip for error resilient neuromorphic VLSI systems. In: ACM 2013 Proceedings of the International Conference on Computer-Aided Design (ICCAD); San Jose, CA, USA; 2013. pp. 130-137.
  • [20] Dutt S, Dash S, Nandi S, Trivedi G. Analysis, modeling and optimization of equal segment based approximate adders. IEEE Transactions on Computers 2018; 68 (3): 314-330.
  • [21] Celia D, Vasudevan V, Chandrachoodan N. Probabilistic error modeling for two-part segmented approximate adders. In: IEEE 2018 International Symposium on Circuits and Systems (ISCAS); Florence, Italy; 2018. pp. 1-5.
  • [22] Shafique M, Ahmad W, Hafiz R, Henkel J. A low latency generic accuracy configurable adder. In: 52nd ACM/EDAC/IEEE Design Automation Conference (DAC); San Francisco, CA, USA; 2015. pp. 1-6.
  • [23] Esposito D, De-Caro D, Strollo AGM. Variable latency speculative parallel prefix adders for unsigned and signed operands. IEEE Transactions on Circuits and Systems I: Regular Papers 2016; 63 (8): 1200-1209.
  • [24] Yang T, Ukezono T, Sato T. A low-power configurable adder for approximate applications. In: IEEE 19th International Symposium on Quality Electronic Design (ISQED); Santa Clara, CA, USA; 2018. pp. 347-352.
  • [25] Garg B, Dutt S, Sharma G. Bit-width-aware constant-delay run-time accuracy programmable adder for errorresilient applications. Microelectronics Journal 2016; 50: 1-7.
  • [26] Katreepalli R, Haniotakis T. High speed power efficient carry select adder design. In: IEEE 2017 Computer Society Annual Symposium on VLSI (ISVLSI); Bochum, Germany; 2017. pp. 32-37.
  • [27] Xu W, Sapatnekar SS, Hu J. A simple yet efficient accuracy-configurable adder design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2018; 26 (6): 1112-1125.
  • [28] “dbtcbn65gplusbc0d88 TSMC 65nm CMOS library databook.”
  • [29] Liang J, Han J, Lombardi F. New metrics for the reliability of approximate and probabilistic adders. IEEE Transactions on Computers 2012; 62 (9): 1760-1771.